platforms/kc705: add more clock constraints
This commit is contained in:
parent
df0f27fefe
commit
7180b5273c
|
@ -121,4 +121,18 @@ def Platform(*args, toolchain="vivado", programmer="xc3sprog", **kwargs):
|
|||
self.add_period_constraint(self.lookup_request("eth_clocks").rx, 8.0)
|
||||
except ConstraintError:
|
||||
pass
|
||||
self.add_platform_command("""
|
||||
create_clock -name sys_clk -period 6 [get_nets sys_clk]
|
||||
create_clock -name eth_rx_clk -period 8 [get_nets eth_rx_clk]
|
||||
create_clock -name eth_tx_clk -period 8 [get_nets eth_tx_clk]
|
||||
|
||||
set_false_path -from [get_clocks sys_clk] -to [get_clocks eth_rx_clk]
|
||||
set_false_path -from [get_clocks sys_clk] -to [get_clocks eth_tx_clk]
|
||||
set_false_path -from [get_clocks eth_rx_clk] -to [get_clocks sys_clk]
|
||||
set_false_path -from [get_clocks eth_tx_clk] -to [get_clocks sys_clk]
|
||||
|
||||
set_property CFGBVS VCCO [current_design]
|
||||
set_property CONFIG_VOLTAGE 2.5 [current_design]
|
||||
""")
|
||||
|
||||
return RealPlatform(*args, **kwargs)
|
||||
|
|
|
@ -3,6 +3,7 @@ from config import *
|
|||
wb.open()
|
||||
regs = wb.regs
|
||||
###
|
||||
regs.ethphy_crg_reset.write(1)
|
||||
print("sysid : 0x%04x" %regs.identifier_sysid.read())
|
||||
print("revision : 0x%04x" %regs.identifier_revision.read())
|
||||
print("frequency : %d MHz" %(regs.identifier_frequency.read()/1000000))
|
||||
|
|
|
@ -24,7 +24,7 @@ regs.bist_generator_length.write(64)
|
|||
|
||||
conditions = {}
|
||||
conditions = {
|
||||
"udpip_core_mac_tx_cdc_sink_stb" : 1
|
||||
"udpipsocdevel_mac_tx_cdc_sink_stb" : 1
|
||||
}
|
||||
la.configure_term(port=0, cond=conditions)
|
||||
la.configure_sum("term")
|
||||
|
|
Loading…
Reference in New Issue