Merge pull request #223 from sergachev/master

support vivado incremental implementation
This commit is contained in:
enjoy-digital 2019-07-25 20:24:25 +02:00 committed by GitHub
commit ae00482dde
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
1 changed files with 3 additions and 0 deletions

View File

@ -106,6 +106,7 @@ class XilinxVivadoToolchain:
self.additional_commands = []
self.pre_synthesis_commands = []
self.with_phys_opt = False
self.incremental_implementation = False
self.clocks = dict()
self.false_paths = set()
@ -154,6 +155,8 @@ class XilinxVivadoToolchain:
tcl.append("report_utilization -hierarchical -file {}_utilization_hierarchical_synth.rpt".format(build_name))
tcl.append("report_utilization -file {}_utilization_synth.rpt".format(build_name))
tcl.append("opt_design")
if self.incremental_implementation:
tcl.append("read_checkpoint -incremental {}_route.dcp".format(build_name))
tcl.append("place_design")
if self.with_phys_opt:
tcl.append("phys_opt_design -directive AddRetime")