litex/targets
Sebastien Bourdeauducq fb48b89bac platforms/kc705: generate clocks for SDRAM 2014-08-06 23:53:26 +08:00
..
__init__.py add support for external platforms and targets 2013-11-24 16:55:33 +01:00
kc705.py platforms/kc705: generate clocks for SDRAM 2014-08-06 23:53:26 +08:00
mlabs_video.py Keep only basic SoC designs in MiSoC 2014-08-03 12:30:15 +08:00
ppro.py targets/ppro: use migen reset synchronizer 2014-08-06 19:38:11 +08:00