litex/examples/de1/de1.sdc

5 lines
92 B
Tcl

# Synopsys, Inc. constraint file
#
# Clocks
#
create_clock -period 50MHz [get_ports in_clk]