litex/examples/de1/de1.sdc

4 lines
59 B
Tcl

#
# Clocks
#
create_clock -period 50MHz [get_ports in_clk]