picorv32/scripts/icestorm/build.sh

6 lines
161 B
Bash
Raw Normal View History

#!/bin/bash
set -ex
yosys -ql synth.log -p 'synth_ice40 -blif synth.blif' ../../picorv32.v
arachne-pnr -d 8k -o synth.txt synth.blif
icepack synth.txt synth.bin