picorv32/scripts/yosys/synth_sim.ys

8 lines
211 B
Plaintext
Raw Normal View History

2015-06-29 19:46:25 -04:00
# yosys synthesis script for post-synthesis simulation (make test_synth)
read_verilog picorv32.v
chparam -set ENABLE_IRQ 1 -set ENABLE_MUL 1 picorv32_axi
hierarchy -top picorv32_axi
synth
write_verilog synth.v