picorv32/scripts/icestorm/Makefile

75 lines
2.1 KiB
Makefile
Raw Normal View History

2015-07-19 10:09:19 -04:00
2015-10-08 05:58:59 -04:00
TOOLCHAIN_PREFIX = riscv32-unknown-elf-
2015-07-19 10:09:19 -04:00
all: example.bin
firmware.elf: firmware.S firmware.c firmware.lds
$(TOOLCHAIN_PREFIX)gcc -Os -m32 -ffreestanding -nostdlib -o firmware.elf firmware.S firmware.c \
--std=gnu99 -Wl,-Bstatic,-T,firmware.lds,-Map,firmware.map,--strip-debug -lgcc
2015-07-19 10:44:31 -04:00
chmod -x firmware.elf
2015-07-19 10:09:19 -04:00
firmware.bin: firmware.elf
$(TOOLCHAIN_PREFIX)objcopy -O binary firmware.elf firmware.bin
2015-07-19 10:44:31 -04:00
chmod -x firmware.bin
2015-07-19 10:09:19 -04:00
firmware.hex: firmware.bin
python3 ../../firmware/makehex.py firmware.bin 128 > firmware.hex
2015-10-13 00:45:09 -04:00
synth.blif: example.v ../../picorv32.v firmware.hex
yosys -v3 -l synth.log -p 'synth_ice40 -top top -blif $@; write_verilog -attr2comment synth.v' $(filter %.v, $^)
2015-07-19 10:09:19 -04:00
example.txt: synth.blif
arachne-pnr -d 8k -o example.txt -p example.pcf synth.blif
example.bin: example.txt
icepack example.txt example.bin
example_tb.exe: example_tb.v example.v firmware.hex
2015-07-19 10:44:31 -04:00
iverilog -o example_tb.exe -s testbench example.v example_tb.v ../../picorv32.v
2015-07-19 10:09:19 -04:00
chmod -x example_tb.exe
2015-07-21 11:43:33 -04:00
example_sim: example_tb.exe
vvp -N example_tb.exe
example_sim_vcd: example_tb.exe
vvp -N example_tb.exe +vcd
synth_tb.exe: example_tb.v synth.blif
iverilog -o synth_tb.exe -s testbench synth.v example_tb.v /usr/local/share/yosys/ice40/cells_sim.v
chmod -x synth_tb.exe
synth_sim: synth_tb.exe
vvp -N synth_tb.exe
synth_sim_vcd: synth_tb.exe
vvp -N synth_tb.exe +vcd
route.v: example.txt
icebox_vlog -L -n top -sp example.pcf example.txt > route.v
route_tb.exe: example_tb.v route.v
iverilog -o route_tb.exe -s testbench route.v example_tb.v /usr/local/share/yosys/ice40/cells_sim.v
chmod -x route_tb.exe
route_sim: route_tb.exe
vvp -N route_tb.exe
route_sim_vcd: route_tb.exe
vvp -N route_tb.exe +vcd
2015-07-19 10:09:19 -04:00
prog_sram:
iceprog -S example.bin
2015-07-21 11:43:33 -04:00
view:
gtkwave example.vcd example.gtkw
2015-07-19 10:09:19 -04:00
clean:
rm -f firmware.elf firmware.map firmware.bin firmware.hex
2015-07-21 11:43:33 -04:00
rm -f synth.log synth.v synth.blif route.v example.txt example.bin
rm -f example_tb.exe synth_tb.exe route_tb.exe example.vcd
2015-07-19 10:09:19 -04:00
2015-07-21 11:43:33 -04:00
.PHONY: all prog_sram view clean
.PHONY: example_sim synth_sim route_sim
.PHONY: example_sim_vcd synth_sim_vcd route_sim_vcd
2015-07-19 10:09:19 -04:00