Add verilator testbench

This commit is contained in:
Olof Kindgren 2018-03-04 21:20:29 +01:00
parent 2ba76e0311
commit 70ea50e60d
1 changed files with 27 additions and 0 deletions

27
testbench.cc Normal file
View File

@ -0,0 +1,27 @@
#include "Vpicorv32_wrapper.h"
#include "verilated_vcd_c.h"
int main(int argc, char **argv, char **env)
{
Verilated::commandArgs(argc, argv);
Verilated::traceEverOn(true);
Vpicorv32_wrapper* top = new Vpicorv32_wrapper;
VerilatedVcdC* tfp = new VerilatedVcdC;
top->trace (tfp, 99);
tfp->open ("testbench.vcd");
top->clk = 0;
int t = 0;
while (!Verilated::gotFinish()) {
if (t > 200)
top->resetn = 1;
top->clk = !top->clk;
top->eval();
tfp->dump (t);
t += 5;
}
tfp->close();
delete top;
exit(0);
}