Minor vivado script changes

This commit is contained in:
Clifford Wolf 2015-06-27 23:05:00 +02:00
parent d0100f72b5
commit ef8796de45
2 changed files with 4 additions and 0 deletions

View File

@ -5,8 +5,11 @@ help:
@echo "Usage: make {synth_speed|synth_area|synth_soc}"
synth_%:
rm -f $@.log
$(VIVADO) -nojournal -log $@.log -mode batch -source $@.tcl
rm -rf .Xil fsm_encoding.os synth_*.backup.log usage_statistics_webtalk.*
-grep -B4 -A10 'Slice LUTs' $@.log
-grep -B1 -A9 ^Slack $@.log && echo
tab_%/results.txt:
bash tabtest.sh $@

View File

@ -5,6 +5,7 @@ read_xdc synth_speed.xdc
synth_design -part xc7k70t-fbg676 -top picorv32_axi
opt_design
place_design
phys_opt_design
route_design
report_utilization