picorv32/scripts/vivado/synth_vivado.xdc

2 lines
42 B
Tcl

create_clock -period 4.00 [get_ports clk]