picorv32/scripts/vivado/synth_area.xdc

2 lines
43 B
Tcl

create_clock -period 20.00 [get_ports clk]