picorv32/scripts/quartus/synth_system.sdc

2 lines
43 B
Tcl

create_clock -period 10.00 [get_ports clk]