upsilon/firmware/rtl/common.makefile

6 lines
253 B
Plaintext
Raw Normal View History

# Generate verilog from m4 file
%.v: %.v.m4
2023-03-15 17:08:55 -04:00
#m4 -P --synclines $< | awk -v filename=$< '/^#line/ {printf("`line %d %s 0\n", $$2, filename); next} {print}' > $@
# NOTE: f4pga yosys does not support `line directives. Use above for debug.
m4 -P $< > $@