upsilon/gateware/rtl/spi
..
Makefile
ramp.v
spi_master.v
spi_master_no_read.v
spi_master_no_write.v
spi_master_ss.v
spi_master_ss_no_read.v
spi_master_ss_no_write.v
spi_master_ss_template.v
spi_slave.v
spi_slave_no_read.v
spi_slave_no_write.v
spi_switch.v
spi_switch_sim.cpp