This commit is contained in:
Peter McGoron 2022-07-21 03:12:27 -04:00
parent d8b011110a
commit 65d7fe166e
3 changed files with 38 additions and 1 deletions

View File

@ -1,5 +1,5 @@
all:
for i in 00 01; do \
for i in 00 01 10; do \
make -f run_mode.makefile MODE="$$i"; \
done

3
tests/mode10.cpp Normal file
View File

@ -0,0 +1,3 @@
#include "Vmode10.h"
using TopModule = Vmode10;
#include "write_read.cpp"

34
tests/mode10.v Normal file
View File

@ -0,0 +1,34 @@
/* (c) Peter McGoron 2022
* This Source Code Form is subject to the terms of the Mozilla Public
* License, v.2.0. If a copy of the MPL was not distributed with this
* file, You can obtain one at https://mozilla.org/MPL/2.0/.
*/
module mode10 (
input clk,
input [23:0] data_ctrl,
input activate,
input ss,
input rdy,
output master_finished
);
spi_write_read
#(
.POLARITY(1),
.PHASE(0)
) base (
.clk(clk),
.data_ctrl(data_ctrl),
.activate(activate),
.master_finished(master_finished),
.ss(ss),
.rdy(rdy)
);
initial begin
$dumpfile("mode10.vcd");
$dumpvars();
end
endmodule