f4pga-examples/xc7/counter_test/counter.sdc

2 lines
29 B
Plaintext
Raw Normal View History

create_clock -period 10 bufg