FIXME: WIP changes.

Signed-off-by: Tim 'mithro' Ansell <me@mith.ro>
This commit is contained in:
Tim 'mithro' Ansell 2020-07-29 12:22:42 -07:00
parent 7b623cdfdf
commit a240eda9c7
3 changed files with 29 additions and 0 deletions

View File

@ -36,6 +36,8 @@ wget https://repo.continuum.io/miniconda/Miniconda3-latest-Linux-x86_64.sh -O co
2. Toolchain
FIXME: This should be included from xc7/README.md
For the Artix-7 devices:
```bash
@ -48,6 +50,8 @@ wget -qO- https://storage.googleapis.com/symbiflow-arch-defs/artifacts/prod/foss
conda deactivate
```
FIXME: This should be included from eos-s3/README.md
For the EOS S3 devices:
```bash
@ -66,6 +70,7 @@ The example designs are provided in separate directories:
### Example designs for the Artix-7 devices:
1. `counter` - simple 4-bit counter driving LEDs. The design targets the [Basys3 board](https://store.digilentinc.com/basys-3-artix-7-fpga-trainer-board-recommended-for-introductory-users/) and the [Arty board](https://store.digilentinc.com/arty-a7-artix-7-fpga-development-board-for-makers-and-hobbyists/).
1. `picosoc` - [picorv32](https://github.com/cliffordwolf/picorv32) based SoC. The design targets the [Basys3 board](https://store.digilentinc.com/basys-3-artix-7-fpga-trainer-board-recommended-for-introductory-users/).
1. `linux_litex` - [LiteX](https://github.com/enjoy-digital/litex) based system with Linux capable [VexRiscv core](https://github.com/SpinalHDL/VexRiscv). The design includes [DDR](https://github.com/enjoy-digital/litedram) and [Ethernet](https://github.com/enjoy-digital/liteeth) controllers. The design targets the [Arty board](https://store.digilentinc.com/arty-a7-artix-7-fpga-development-board-for-makers-and-hobbyists/).
@ -103,6 +108,8 @@ pushd xc7/linux_litex_demo && make && popd
### Example design for the EOS S3 devices:
FIXME: This should be included from eos-s3/README.md
1. `btn_counter` - simple 4-bit counter driving LEDs. The design targets the [EOS S3 FPGA](https://www.quicklogic.com/products/eos-s3/).
To build the example, run the following commands:

11
eos-s3/README.md Normal file
View File

@ -0,0 +1,11 @@
# SymbiFlow Toolchain Examples for QuickLogic EOS S3
FIXME: put stuff here
## Setting up the toolchain
FIXME: put stuff here
## Building the examples
FIXME: put stuff here

11
xc7/README.md Normal file
View File

@ -0,0 +1,11 @@
# SymbiFlow Toolchain Examples for Xilinx 7 Series
FIXME: put stuff here
## Setting up the toolchain
FIXME: put stuff here
## Building the examples
FIXME: put stuff here