fixed module parameters to conform to conventions

Signed-off-by: Joshua Fife <jpfife17@gmail.com>
This commit is contained in:
Joshua Fife 2021-08-11 21:29:42 -06:00
parent 024e478151
commit cebbdfc780
2 changed files with 54 additions and 44 deletions

View File

@ -11,21 +11,21 @@ module top (
logic [15:0] digitData;
timer TC0 (
clk,
btnc,
sw,
digitData[3:0],
digitData[7:4],
digitData[11:8],
digitData[15:12]
.clk(clk),
.reset(btnc),
.run(sw),
.digit0(digitData[3:0]),
.digit1(digitData[7:4]),
.digit2(digitData[11:8]),
.digit3(digitData[15:12])
);
display_control SSC0 (
clk,
btnc,
digitData,
4'b1111,
4'b0100,
anode,
segment
.clk(clk),
.reset(btnc),
.dataIn(digitData),
.digitDisplay(4'b1111),
.digitPoint(4'b0100),
.anode(anode),
.segment(segment)
);
endmodule

View File

@ -14,40 +14,50 @@ module timer (
logic [23:0] timerCount;
modify_count #(10) M0 (
clk,
reset,
inc0,
inc1,
digit0
modify_count #(
.MOD_VALUE(10)
) M0 (
.clk(clk),
.reset(reset),
.increment(inc0),
.rolling_over(inc1),
.count(digit0)
);
modify_count #(10) M1 (
clk,
reset,
inc1,
inc2,
digit1
modify_count #(
.MOD_VALUE(10)
) M1 (
.clk(clk),
.reset(reset),
.increment(inc1),
.rolling_over(inc2),
.count(digit1)
);
modify_count #(10) M2 (
clk,
reset,
inc2,
inc3,
digit2
modify_count #(
.MOD_VALUE(10)
) M2 (
.clk(clk),
.reset(reset),
.increment(inc2),
.rolling_over(inc3),
.count(digit2)
);
modify_count #(6) M3 (
clk,
reset,
inc3,
inc4,
digit3
modify_count #(
.MOD_VALUE(6)
) M3 (
.clk(clk),
.reset(reset),
.increment(inc3),
.rolling_over(inc4),
.count(digit3)
);
time_counter #(1000000) T0 (
clk,
reset,
run,
inc0,
timerCount
time_counter #(
.MOD_VALUE(1000000)
) T0 (
.clk(clk),
.reset(reset),
.increment(run),
.rolling_over(inc0),
.count(timerCount)
);
endmodule