f4pga/source
Robert Winkler c73f9c8c96 Add SymbiFlow toolchain description
Describe the synthesis and place and route process.
Add short description of Yosys synthesis tool.

Signed-off-by: Robert Winkler <rwinkler@internships.antmicro.com>
2019-09-25 21:50:21 +02:00
..
images Add SymbiFlow toolchain description 2019-09-25 21:50:21 +02:00
prjtrellis@7848ab8db8 Added prjtrellis as submodule / subdocs 2019-04-16 18:15:12 +02:00
prjxray@91318ac8a5 Add arch defs 2019-04-16 18:23:47 +02:00
symbiflow-arch-defs@f25b843cd5 Add SymbiFlow toolchain description 2019-09-25 21:50:21 +02:00
toolchain-desc Add SymbiFlow toolchain description 2019-09-25 21:50:21 +02:00
conf.py Overwriting old documentation without DCO 2019-04-05 07:56:47 -07:00
fasm-specification.rst Typo correction in fasm-specification.rst 2019-08-31 06:21:51 -07:00
index.rst Add SymbiFlow toolchain description 2019-09-25 21:50:21 +02:00
introduction.rst Add more information about SymbiFlow to introduction 2019-09-19 09:38:40 +02:00
toolchain-desc.rst Add SymbiFlow toolchain description 2019-09-25 21:50:21 +02:00