Merge pull request #474 from Quiddle11/master

Avoid undefined clocks in generated sdc file
This commit is contained in:
enjoy-digital 2023-02-11 08:41:17 +01:00 committed by GitHub
commit d8d757eec4
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
1 changed files with 2 additions and 2 deletions

View File

@ -325,5 +325,5 @@ class Platform(AlteraPlatform):
AlteraPlatform.do_finalize(self, fragment)
self.add_period_constraint(self.lookup_request("clk50", loose=True), 1e9/50e6)
# Generate PLL clocsk in STA
self.toolchain.additional_sdc_commands.append("derive_pll_clocks -create_base_clocks -use_net_name")
self.toolchain.additional_sdc_commands.append("derive_clock_uncertainty")
self.toolchain.additional_sdc_commands.insert(0, "derive_pll_clocks -create_base_clocks -use_net_name")
self.toolchain.additional_sdc_commands.insert(0, "derive_clock_uncertainty")