litex/misoclib/lasmicon/bankmachine.py

145 lines
3.8 KiB
Python
Raw Normal View History

2013-06-11 08:18:16 -04:00
from migen.fhdl.std import *
from migen.genlib.roundrobin import *
2013-06-25 16:25:10 -04:00
from migen.genlib.fsm import FSM, NextState
2013-06-11 08:18:16 -04:00
from migen.genlib.misc import optree
from migen.genlib.fifo import SyncFIFO
2013-06-11 08:18:16 -04:00
2013-11-09 09:27:32 -05:00
from misoclib.lasmicon.multiplexer import *
2013-06-11 08:18:16 -04:00
class _AddressSlicer:
def __init__(self, col_a, address_align):
self.col_a = col_a
self.address_align = address_align
def row(self, address):
split = self.col_a - self.address_align
if isinstance(address, int):
return address >> split
else:
return address[split:]
def col(self, address):
split = self.col_a - self.address_align
if isinstance(address, int):
return (address & (2**split - 1)) << self.address_align
else:
return Cat(Replicate(0, self.address_align), address[:split])
class BankMachine(Module):
def __init__(self, geom_settings, timing_settings, address_align, bankn, req):
self.refresh_req = Signal()
self.refresh_gnt = Signal()
self.cmd = CommandRequestRW(geom_settings.mux_a, geom_settings.bank_a)
###
# Request FIFO
self.submodules.req_fifo = SyncFIFO([("we", 1), ("adr", flen(req.adr))], timing_settings.req_queue_size)
self.comb += [
self.req_fifo.din.we.eq(req.we),
self.req_fifo.din.adr.eq(req.adr),
self.req_fifo.we.eq(req.stb),
req.req_ack.eq(self.req_fifo.writable),
self.req_fifo.re.eq(req.dat_ack),
req.lock.eq(self.req_fifo.readable)
]
reqf = self.req_fifo.dout
2013-06-11 08:18:16 -04:00
slicer = _AddressSlicer(geom_settings.col_a, address_align)
# Row tracking
has_openrow = Signal()
openrow = Signal(geom_settings.row_a)
hit = Signal()
self.comb += hit.eq(openrow == slicer.row(reqf.adr))
2013-06-11 08:18:16 -04:00
track_open = Signal()
track_close = Signal()
self.sync += [
If(track_open,
has_openrow.eq(1),
openrow.eq(slicer.row(reqf.adr))
2013-06-11 08:18:16 -04:00
),
If(track_close,
has_openrow.eq(0)
)
]
# Address generation
s_row_adr = Signal()
self.comb += [
self.cmd.ba.eq(bankn),
If(s_row_adr,
self.cmd.a.eq(slicer.row(reqf.adr))
2013-06-11 08:18:16 -04:00
).Else(
self.cmd.a.eq(slicer.col(reqf.adr))
2013-06-11 08:18:16 -04:00
)
]
# Respect write-to-precharge specification
precharge_ok = Signal()
t_unsafe_precharge = 2 + timing_settings.tWR - 1
unsafe_precharge_count = Signal(max=t_unsafe_precharge+1)
self.comb += precharge_ok.eq(unsafe_precharge_count == 0)
self.sync += [
If(self.cmd.stb & self.cmd.ack & self.cmd.is_write,
unsafe_precharge_count.eq(t_unsafe_precharge)
).Elif(~precharge_ok,
unsafe_precharge_count.eq(unsafe_precharge_count-1)
)
]
# Control and command generation FSM
2013-06-25 16:25:10 -04:00
fsm = FSM()
2013-06-11 08:18:16 -04:00
self.submodules += fsm
2013-06-25 16:25:10 -04:00
fsm.act("REGULAR",
2013-06-11 08:18:16 -04:00
If(self.refresh_req,
2013-06-25 16:25:10 -04:00
NextState("REFRESH")
).Elif(self.req_fifo.readable,
2013-06-11 08:18:16 -04:00
If(has_openrow,
If(hit,
# NB: write-to-read specification is enforced by multiplexer
self.cmd.stb.eq(1),
req.dat_ack.eq(self.cmd.ack),
self.cmd.is_read.eq(~reqf.we),
self.cmd.is_write.eq(reqf.we),
2013-06-11 08:18:16 -04:00
self.cmd.cas_n.eq(0),
self.cmd.we_n.eq(~reqf.we)
2013-06-11 08:18:16 -04:00
).Else(
2013-06-25 16:25:10 -04:00
NextState("PRECHARGE")
2013-06-11 08:18:16 -04:00
)
).Else(
2013-06-25 16:25:10 -04:00
NextState("ACTIVATE")
2013-06-11 08:18:16 -04:00
)
)
)
2013-06-25 16:25:10 -04:00
fsm.act("PRECHARGE",
2013-06-11 08:18:16 -04:00
# Notes:
# 1. we are presenting the column address, A10 is always low
# 2. since we always go to the ACTIVATE state, we do not need
# to assert track_close.
If(precharge_ok,
self.cmd.stb.eq(1),
2013-06-25 16:25:10 -04:00
If(self.cmd.ack, NextState("TRP")),
2013-06-11 08:18:16 -04:00
self.cmd.ras_n.eq(0),
self.cmd.we_n.eq(0),
self.cmd.is_cmd.eq(1)
2013-06-11 08:18:16 -04:00
)
)
2013-06-25 16:25:10 -04:00
fsm.act("ACTIVATE",
2013-06-11 08:18:16 -04:00
s_row_adr.eq(1),
track_open.eq(1),
self.cmd.stb.eq(1),
self.cmd.is_cmd.eq(1),
2013-06-25 16:25:10 -04:00
If(self.cmd.ack, NextState("TRCD")),
2013-06-11 08:18:16 -04:00
self.cmd.ras_n.eq(0)
)
2013-06-25 16:25:10 -04:00
fsm.act("REFRESH",
2013-06-11 08:18:16 -04:00
self.refresh_gnt.eq(precharge_ok),
track_close.eq(1),
self.cmd.is_cmd.eq(1),
2013-06-25 16:25:10 -04:00
If(~self.refresh_req, NextState("REGULAR"))
2013-06-11 08:18:16 -04:00
)
fsm.delayed_enter("TRP", "ACTIVATE", timing_settings.tRP-1)
fsm.delayed_enter("TRCD", "REGULAR", timing_settings.tRCD-1)