litex/examples/de0_nano/build.py

27 lines
936 B
Python
Raw Normal View History

2013-02-28 16:40:35 -05:00
from mibuild.platforms import de0nano
2012-09-09 15:18:09 -04:00
import top
2013-02-28 16:40:35 -05:00
def main():
plat = de0nano.Platform()
soc = top.SoC()
# set pin constraints
plat.request("led", obj=soc.led)
plat.request("gpio_2", obj=soc.gpio_2)
# set extra constraints
plat.add_platform_command("""
set_global_assignment -name FAMILY "Cyclone IV E"
set_global_assignment -name TOP_LEVEL_ENTITY "top"
set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO"
""")
2012-09-09 15:18:09 -04:00
2013-03-18 16:45:07 -04:00
plat.build_cmdline(soc.get_fragment())
2012-09-09 15:18:09 -04:00
2013-02-28 16:40:35 -05:00
if __name__ == "__main__":
main()