lattice/yosys: don't use quiet operation since logs are useful and for consistency with others build backends.

This commit is contained in:
Florent Kermarrec 2020-02-28 08:32:29 +01:00
parent c4fd6a7f2f
commit 400492e234
2 changed files with 2 additions and 2 deletions

View File

@ -88,7 +88,7 @@ def parse_device(device):
# Script ------------------------------------------------------------------------------------------- # Script -------------------------------------------------------------------------------------------
_build_template = [ _build_template = [
"yosys -q -l {build_name}.rpt {build_name}.ys", "yosys -l {build_name}.rpt {build_name}.ys",
"nextpnr-ice40 --json {build_name}.json --pcf {build_name}.pcf --asc {build_name}.txt \ "nextpnr-ice40 --json {build_name}.json --pcf {build_name}.pcf --asc {build_name}.txt \
--pre-pack {build_name}_pre_pack.py --{architecture} --package {package}", --pre-pack {build_name}_pre_pack.py --{architecture} --package {package}",
"icepack {build_name}.txt {build_name}.bin" "icepack {build_name}.txt {build_name}.bin"

View File

@ -111,7 +111,7 @@ nextpnr_ecp5_architectures = {
# Script ------------------------------------------------------------------------------------------- # Script -------------------------------------------------------------------------------------------
_build_template = [ _build_template = [
"yosys -q -l {build_name}.rpt {build_name}.ys", "yosys -l {build_name}.rpt {build_name}.ys",
"nextpnr-ecp5 --json {build_name}.json --lpf {build_name}.lpf --textcfg {build_name}.config \ "nextpnr-ecp5 --json {build_name}.json --lpf {build_name}.lpf --textcfg {build_name}.config \
--{architecture} --package {package} --speed {speed_grade} {timefailarg} {ignoreloops}", --{architecture} --package {package} --speed {speed_grade} {timefailarg} {ignoreloops}",
"ecppack {build_name}.config --svf {build_name}.svf --bit {build_name}.bit" "ecppack {build_name}.config --svf {build_name}.svf --bit {build_name}.bit"