lattice/yosys: don't use quiet operation since logs are useful and for consistency with others build backends.
This commit is contained in:
parent
c4fd6a7f2f
commit
400492e234
|
@ -88,7 +88,7 @@ def parse_device(device):
|
|||
# Script -------------------------------------------------------------------------------------------
|
||||
|
||||
_build_template = [
|
||||
"yosys -q -l {build_name}.rpt {build_name}.ys",
|
||||
"yosys -l {build_name}.rpt {build_name}.ys",
|
||||
"nextpnr-ice40 --json {build_name}.json --pcf {build_name}.pcf --asc {build_name}.txt \
|
||||
--pre-pack {build_name}_pre_pack.py --{architecture} --package {package}",
|
||||
"icepack {build_name}.txt {build_name}.bin"
|
||||
|
|
|
@ -111,7 +111,7 @@ nextpnr_ecp5_architectures = {
|
|||
# Script -------------------------------------------------------------------------------------------
|
||||
|
||||
_build_template = [
|
||||
"yosys -q -l {build_name}.rpt {build_name}.ys",
|
||||
"yosys -l {build_name}.rpt {build_name}.ys",
|
||||
"nextpnr-ecp5 --json {build_name}.json --lpf {build_name}.lpf --textcfg {build_name}.config \
|
||||
--{architecture} --package {package} --speed {speed_grade} {timefailarg} {ignoreloops}",
|
||||
"ecppack {build_name}.config --svf {build_name}.svf --bit {build_name}.bit"
|
||||
|
|
Loading…
Reference in New Issue