litex/examples/de0_nano/de0_nano.sdc

4 lines
59 B
Tcl

#
# Clocks
#
create_clock -period 50MHz [get_ports in_clk]