picorv32/scripts/vivado/synth_area.tcl

13 lines
191 B
Tcl
Raw Normal View History

2015-06-09 06:45:45 -04:00
read_verilog ../../picorv32.v
read_xdc synth_area.xdc
2015-06-26 17:41:13 -04:00
synth_design -part xc7k70t-fbg676 -top picorv32_axi
2015-06-09 06:45:45 -04:00
opt_design
report_utilization
2015-06-26 17:41:13 -04:00
# report_timing
2015-06-09 06:45:45 -04:00
write_verilog -force synth_area.v