Updated vivado scripts

This commit is contained in:
Clifford Wolf 2015-06-26 23:41:13 +02:00
parent 0be990bd04
commit 60fdba89d0
3 changed files with 4 additions and 6 deletions

View File

@ -2,13 +2,11 @@
read_verilog ../../picorv32.v
read_xdc synth_area.xdc
synth_design -part xc7a15t-fgg484 -top picorv32_axi
synth_design -part xc7k70t-fbg676 -top picorv32_axi
opt_design
place_design
route_design
report_utilization
report_timing
# report_timing
write_verilog -force synth_area.v

View File

@ -2,7 +2,7 @@
read_verilog ../../picorv32.v
read_xdc synth_speed.xdc
synth_design -part xc7a15t-fgg484 -top picorv32_axi
synth_design -part xc7k70t-fbg676 -top picorv32_axi
opt_design
place_design
route_design

View File

@ -1 +1 @@
create_clock -period 4.00 [get_ports clk]
create_clock -period 2.50 [get_ports clk]