picorv32/scripts/vivado/soc_top.v

74 lines
1.9 KiB
Coq
Raw Normal View History

2015-06-06 14:14:58 -04:00
`timescale 1 ns / 1 ps
2015-06-09 06:45:45 -04:00
module soc_top (
2015-06-06 14:14:58 -04:00
input clk,
input resetn,
output trap,
output [7:0] out_byte,
2015-06-07 06:11:20 -04:00
output reg out_byte_en,
2015-06-06 14:14:58 -04:00
output monitor_valid,
output [31:0] monitor_addr,
output [31:0] monitor_data
);
2015-06-08 13:58:28 -04:00
// 4096 32bit words = 16kB memory
parameter MEM_SIZE = 4096;
2015-06-06 14:14:58 -04:00
wire mem_valid;
wire mem_instr;
wire mem_ready;
wire [31:0] mem_addr;
wire [31:0] mem_wdata;
wire [3:0] mem_wstrb;
reg [31:0] mem_rdata;
2015-06-07 06:11:20 -04:00
wire mem_la_read;
2015-06-07 06:11:20 -04:00
wire mem_la_write;
wire [31:0] mem_la_addr;
2015-06-07 06:11:20 -04:00
wire [31:0] mem_la_wdata;
wire [3:0] mem_la_wstrb;
2015-06-06 14:14:58 -04:00
picorv32 uut (
2015-06-07 06:11:20 -04:00
.clk (clk ),
.resetn (resetn ),
.trap (trap ),
.mem_valid (mem_valid ),
.mem_instr (mem_instr ),
.mem_ready (mem_ready ),
.mem_addr (mem_addr ),
.mem_wdata (mem_wdata ),
.mem_wstrb (mem_wstrb ),
.mem_rdata (mem_rdata ),
.mem_la_read (mem_la_read ),
.mem_la_write(mem_la_write),
.mem_la_addr (mem_la_addr ),
.mem_la_wdata(mem_la_wdata),
.mem_la_wstrb(mem_la_wstrb)
2015-06-06 14:14:58 -04:00
);
assign monitor_valid = mem_valid;
assign monitor_addr = mem_addr;
assign monitor_data = mem_wstrb ? mem_wdata : mem_rdata;
reg [31:0] memory [0:MEM_SIZE-1];
2015-06-08 13:58:28 -04:00
initial $readmemh("firmware.hex", memory);
2015-06-06 14:14:58 -04:00
assign mem_ready = 1;
assign out_byte = mem_wdata[7:0];
always @(posedge clk) begin
2015-06-07 06:11:20 -04:00
out_byte_en <= 0;
2015-06-08 13:58:28 -04:00
mem_rdata <= memory[mem_la_addr >> 2];
2015-06-07 06:11:20 -04:00
if (mem_la_write && (mem_la_addr >> 2) < MEM_SIZE) begin
if (mem_la_wstrb[0]) memory[mem_la_addr >> 2][ 7: 0] <= mem_la_wdata[ 7: 0];
if (mem_la_wstrb[1]) memory[mem_la_addr >> 2][15: 8] <= mem_la_wdata[15: 8];
if (mem_la_wstrb[2]) memory[mem_la_addr >> 2][23:16] <= mem_la_wdata[23:16];
if (mem_la_wstrb[3]) memory[mem_la_addr >> 2][31:24] <= mem_la_wdata[31:24];
end
else
if (mem_la_write && mem_la_addr == 32'h1000_0000) begin
out_byte_en <= 1;
2015-06-06 14:14:58 -04:00
end
end
endmodule