mirror of https://github.com/YosysHQ/picorv32.git
Changed chip package in vivado examples
This commit is contained in:
parent
5d4ce82050
commit
1a664f9b97
|
@ -2,7 +2,7 @@
|
|||
read_verilog ../../picorv32.v
|
||||
read_xdc synth_area.xdc
|
||||
|
||||
synth_design -part xc7a15t-csg324 -top picorv32_axi
|
||||
synth_design -part xc7a15t-fgg484 -top picorv32_axi
|
||||
opt_design
|
||||
place_design
|
||||
route_design
|
||||
|
|
|
@ -2,7 +2,7 @@
|
|||
read_verilog ../../picorv32.v
|
||||
read_xdc synth_speed.xdc
|
||||
|
||||
synth_design -part xc7a15t-csg324 -top picorv32_axi
|
||||
synth_design -part xc7a15t-fgg484 -top picorv32_axi
|
||||
opt_design
|
||||
place_design
|
||||
route_design
|
||||
|
|
Loading…
Reference in New Issue