Changed chip package in vivado examples

This commit is contained in:
Clifford Wolf 2015-06-26 10:46:51 +02:00
parent 5d4ce82050
commit 1a664f9b97
2 changed files with 2 additions and 2 deletions

View File

@ -2,7 +2,7 @@
read_verilog ../../picorv32.v
read_xdc synth_area.xdc
synth_design -part xc7a15t-csg324 -top picorv32_axi
synth_design -part xc7a15t-fgg484 -top picorv32_axi
opt_design
place_design
route_design

View File

@ -2,7 +2,7 @@
read_verilog ../../picorv32.v
read_xdc synth_speed.xdc
synth_design -part xc7a15t-csg324 -top picorv32_axi
synth_design -part xc7a15t-fgg484 -top picorv32_axi
opt_design
place_design
route_design