litex-boards/litex_boards/targets/qmtech_ep4ce15_starter_kit.py

124 lines
4.5 KiB
Python
Raw Normal View History

2022-10-09 10:34:44 -04:00
#!/usr/bin/env python3
#
# This file is part of LiteX-Boards.
#
# Copyright (c) 2022 Franck Jullien <franck.jullien@collshade.fr>
# SPDX-License-Identifier: BSD-2-Clause
from migen import *
from migen.genlib.resetsync import AsyncResetSynchronizer
from litex.gen import *
2022-10-09 10:34:44 -04:00
from litex.build.io import DDROutput
from litex_boards.platforms import qmtech_ep4ce15_starter_kit
from litex.soc.cores.clock import CycloneIVPLL
from litex.soc.integration.soc_core import *
from litex.soc.integration.builder import *
from litex.soc.cores.led import LedChaser
from litedram.modules import W9825G6KH6
from litedram.phy import GENSDRPHY, HalfRateGENSDRPHY
# CRG ----------------------------------------------------------------------------------------------
class _CRG(LiteXModule):
2022-10-09 10:34:44 -04:00
def __init__(self, platform, sys_clk_freq, sdram_rate="1:1"):
self.rst = Signal()
self.cd_sys = ClockDomain()
2022-10-09 10:34:44 -04:00
if sdram_rate == "1:2":
self.cd_sys2x = ClockDomain()
self.cd_sys2x_ps = ClockDomain()
2022-10-09 10:34:44 -04:00
else:
self.cd_sys_ps = ClockDomain()
2022-10-09 10:34:44 -04:00
# # #
# Clk / Rst
clk50 = platform.request("clk50")
# PLL
self.pll = pll = CycloneIVPLL(speedgrade="-6")
2022-10-09 10:34:44 -04:00
self.comb += pll.reset.eq(self.rst)
pll.register_clkin(clk50, 50e6)
pll.create_clkout(self.cd_sys, sys_clk_freq)
if sdram_rate == "1:2":
pll.create_clkout(self.cd_sys2x, 2*sys_clk_freq)
# theoretically 90 degrees, but increase to relax timing
pll.create_clkout(self.cd_sys2x_ps, 2*sys_clk_freq, phase=180)
else:
pll.create_clkout(self.cd_sys_ps, sys_clk_freq, phase=90)
# SDRAM clock
sdram_clk = ClockSignal("sys2x_ps" if sdram_rate == "1:2" else "sys_ps")
self.specials += DDROutput(1, 0, platform.request("sdram_clock"), sdram_clk)
# BaseSoC ------------------------------------------------------------------------------------------
class BaseSoC(SoCCore):
2022-11-08 06:29:11 -05:00
def __init__(self, sys_clk_freq=50e6,
with_led_chaser = True,
sdram_rate = "1:1",
**kwargs):
2022-10-09 10:34:44 -04:00
platform = qmtech_ep4ce15_starter_kit.Platform()
# CRG --------------------------------------------------------------------------------------
self.crg = _CRG(platform, sys_clk_freq, sdram_rate = sdram_rate)
2022-10-09 10:34:44 -04:00
# SoCCore ----------------------------------------------------------------------------------
if kwargs["with_jtagbone"]:
2022-10-09 10:34:44 -04:00
kwargs["uart_name"] = "crossover"
SoCCore.__init__(self, platform, sys_clk_freq,
ident = "LiteX SoC on QMTECH Cyclone IV Starter Kit",
**kwargs
)
# SDR SDRAM --------------------------------------------------------------------------------
if not self.integrated_main_ram_size:
sdrphy_cls = HalfRateGENSDRPHY if sdram_rate == "1:2" else GENSDRPHY
self.sdrphy = sdrphy_cls(platform.request("sdram"), sys_clk_freq)
2022-10-09 10:34:44 -04:00
self.add_sdram("sdram",
phy = self.sdrphy,
module = W9825G6KH6(sys_clk_freq, sdram_rate),
l2_cache_size = kwargs.get("l2_size", 8192)
)
# Leds -------------------------------------------------------------------------------------
if with_led_chaser:
seven_seg_display = platform.request("seven_seg_ctl")
self.comb += seven_seg_display.dig.eq(0b111)
self.leds = LedChaser(
2022-10-09 10:34:44 -04:00
pads = seven_seg_display.segments,
sys_clk_freq = sys_clk_freq)
# Build --------------------------------------------------------------------------------------------
def main():
from litex.build.parser import LiteXArgumentParser
parser = LiteXArgumentParser(platform=qmtech_ep4ce15_starter_kit.Platform, description="LiteX SoC on QMTECH EP4CE15")
parser.add_target_argument("--sys-clk-freq", default=50e6, type=float, help="System clock frequency.")
parser.add_target_argument("--sdram-rate", default="1:1", help="SDRAM Rate (1:1 Full Rate or 1:2 Half Rate).")
2022-10-09 10:34:44 -04:00
args = parser.parse_args()
soc = BaseSoC(
sys_clk_freq = args.sys_clk_freq,
2022-10-09 10:34:44 -04:00
sdram_rate = args.sdram_rate,
**parser.soc_argdict
2022-10-09 10:34:44 -04:00
)
builder = Builder(soc, **parser.builder_argdict)
2022-10-09 10:34:44 -04:00
if args.build:
builder.build(**parser.toolchain_argdict)
2022-10-09 10:34:44 -04:00
if args.load:
prog = soc.platform.create_programmer()
prog.load_bitstream(builder.get_bitstream_filename(mode="sram"))
if __name__ == "__main__":
main()