2014-09-22 06:33:23 -04:00
|
|
|
from mibuild.generic_platform import *
|
2015-03-01 05:03:15 -05:00
|
|
|
from mibuild.platforms import kc705
|
2014-09-25 09:37:49 -04:00
|
|
|
|
2015-03-01 05:03:15 -05:00
|
|
|
_sata_io = [
|
2015-04-13 08:55:26 -04:00
|
|
|
("sata", 0,
|
|
|
|
Subsignal("refclk_p", Pins("HPC:GBTCLK0_M2C_P")),
|
|
|
|
Subsignal("refclk_n", Pins("HPC:GBTCLK0_M2C_N")),
|
|
|
|
Subsignal("txp", Pins("HPC:DP0_C2M_P")),
|
|
|
|
Subsignal("txn", Pins("HPC:DP0_C2M_N")),
|
|
|
|
Subsignal("rxp", Pins("HPC:DP0_M2C_P")),
|
|
|
|
Subsignal("rxn", Pins("HPC:DP0_M2C_N")),
|
|
|
|
)
|
2014-09-22 06:33:23 -04:00
|
|
|
]
|
|
|
|
|
2015-04-13 09:12:39 -04:00
|
|
|
|
2015-03-13 20:08:36 -04:00
|
|
|
class Platform(kc705.Platform):
|
2015-04-13 08:55:26 -04:00
|
|
|
def __init__(self, *args, **kwargs):
|
|
|
|
kc705.Platform.__init__(self, *args, **kwargs)
|
|
|
|
self.add_extension(_sata_io)
|
2014-09-22 06:33:23 -04:00
|
|
|
|
2015-04-13 08:55:26 -04:00
|
|
|
def do_finalize(self, fragment):
|
|
|
|
try:
|
|
|
|
self.add_period_constraint(self.lookup_request("clk156").p, 6.4)
|
|
|
|
except ConstraintError:
|
|
|
|
pass
|
|
|
|
try:
|
|
|
|
self.add_period_constraint(self.lookup_request("clk200").p, 5.0)
|
|
|
|
except ConstraintError:
|
|
|
|
pass
|
|
|
|
self.add_platform_command("""
|
2015-01-08 16:58:26 -05:00
|
|
|
create_clock -name sys_clk -period 6 [get_nets sys_clk]
|
2015-01-14 12:18:42 -05:00
|
|
|
create_clock -name sata_rx_clk -period 3.33 [get_nets sata_rx_clk]
|
|
|
|
create_clock -name sata_tx_clk -period 3.33 [get_nets sata_tx_clk]
|
2014-12-17 12:03:11 -05:00
|
|
|
|
|
|
|
set_false_path -from [get_clocks sys_clk] -to [get_clocks sata_rx_clk]
|
|
|
|
set_false_path -from [get_clocks sys_clk] -to [get_clocks sata_tx_clk]
|
|
|
|
set_false_path -from [get_clocks sata_rx_clk] -to [get_clocks sys_clk]
|
|
|
|
set_false_path -from [get_clocks sata_tx_clk] -to [get_clocks sys_clk]
|
|
|
|
|
|
|
|
set_property CFGBVS VCCO [current_design]
|
|
|
|
set_property CONFIG_VOLTAGE 2.5 [current_design]
|
2014-10-24 13:24:05 -04:00
|
|
|
""")
|