build: quicklogic: Use F4PGA instead of deprecated Symbiflow

This commit is contained in:
Robert Szczepanski 2022-06-01 11:49:09 +02:00
parent 2c0f59536c
commit 839ca545a4
2 changed files with 8 additions and 8 deletions

View File

@ -18,7 +18,6 @@ from litex.build.quicklogic import common
# IO Constraints (.pcf) ---------------------------------------------------------------------------- # IO Constraints (.pcf) ----------------------------------------------------------------------------
def _format_io_pcf(signame, pin, others): def _format_io_pcf(signame, pin, others):
r = f"set_io {signame} {Pins(pin).identifiers[0]}\n" r = f"set_io {signame} {Pins(pin).identifiers[0]}\n"
return r return r
@ -78,9 +77,10 @@ def _run_make():
raise OSError("Error occured during QuickLogic Symbiflow's script execution.") raise OSError("Error occured during QuickLogic Symbiflow's script execution.")
# SymbiflowToolchain ------------------------------------------------------------------------------- # F4PGAToolchain -------------------------------------------------------------------------------
# Formerly SymbiflowToolchain, Symbiflow has been renamed to F4PGA -----------------------------
class SymbiflowToolchain: class F4PGAToolchain:
attr_translate = {} attr_translate = {}
special_overrides = common.quicklogic_special_overrides special_overrides = common.quicklogic_special_overrides

View File

@ -7,17 +7,17 @@
import os import os
from litex.build.generic_platform import GenericPlatform from litex.build.generic_platform import GenericPlatform
from litex.build.quicklogic import common, symbiflow from litex.build.quicklogic import common, f4pga
# QuickLogicPlatform ------------------------------------------------------------------------------- # QuickLogicPlatform -------------------------------------------------------------------------------
class QuickLogicPlatform(GenericPlatform): class QuickLogicPlatform(GenericPlatform):
bitstream_ext = ".bit" bitstream_ext = ".bit"
def __init__(self, device, *args, toolchain="symbiflow", **kwargs): def __init__(self, *args, toolchain="f4pga", **kwargs):
GenericPlatform.__init__(self, device, *args, **kwargs) GenericPlatform.__init__(self, *args, **kwargs)
if toolchain == "symbiflow": if toolchain == "symbiflow" or toolchain == "f4pga":
self.toolchain = symbiflow.SymbiflowToolchain() self.toolchain = f4pga.F4PGAToolchain()
else: else:
raise ValueError(f"Unknown toolchain {toolchain}") raise ValueError(f"Unknown toolchain {toolchain}")