picorv32/scripts/vivado/synth_area.tcl

9 lines
170 B
Tcl
Raw Normal View History

2015-06-09 06:45:45 -04:00
read_verilog ../../picorv32.v
read_xdc synth_area.xdc
2015-07-01 16:18:20 -04:00
synth_design -part xc7k70t-fbg676 -top picorv32_axi
opt_design -resynth_seq_area
2015-06-09 06:45:45 -04:00
report_utilization
report_timing