picorv32/scripts/vivado/Makefile

27 lines
879 B
Makefile
Raw Normal View History

2015-06-09 06:45:45 -04:00
export VIVADO = /opt/Xilinx/Vivado/2015.1/bin/vivado
2015-06-09 06:45:45 -04:00
help:
@echo "Usage: make {synth_speed|synth_area|synth_soc}"
synth_%:
2015-06-27 17:05:00 -04:00
rm -f $@.log
2015-06-09 06:45:45 -04:00
$(VIVADO) -nojournal -log $@.log -mode batch -source $@.tcl
2015-06-10 10:48:06 -04:00
rm -rf .Xil fsm_encoding.os synth_*.backup.log usage_statistics_webtalk.*
2015-06-27 17:05:00 -04:00
-grep -B4 -A10 'Slice LUTs' $@.log
-grep -B1 -A9 ^Slack $@.log && echo
2015-06-09 06:45:45 -04:00
2015-06-27 07:55:33 -04:00
tab_%/results.txt:
bash tabtest.sh $@
2015-07-01 16:18:20 -04:00
area: synth_area_small synth_area_regular synth_area_large
-grep -B4 -A10 'Slice LUTs' synth_area_small.log synth_area_regular.log synth_area_large.log
2015-06-27 07:55:33 -04:00
table.txt: tab_small_xc7a_1/results.txt tab_small_xc7a_2/results.txt tab_small_xc7a_3/results.txt
table.txt: tab_small_xc7k_1/results.txt tab_small_xc7k_2/results.txt tab_small_xc7k_3/results.txt
table.txt: tab_small_xc7v_1/results.txt tab_small_xc7v_2/results.txt tab_small_xc7v_3/results.txt
table.txt:
bash table.sh > table.txt