picorv32/scripts/vivado
Clifford Wolf ef8796de45 Minor vivado script changes 2015-06-27 23:05:00 +02:00
..
.gitignore Added "make table.txt" vivado scripts 2015-06-27 13:55:33 +02:00
Makefile Minor vivado script changes 2015-06-27 23:05:00 +02:00
soc_top.v Updated Vivado SoC example 2015-06-10 16:48:06 +02:00
synth_area.tcl Updated vivado scripts 2015-06-26 23:41:13 +02:00
synth_area.xdc Makefile for Vivado scripts 2015-06-09 12:45:45 +02:00
synth_soc.tcl Updated Vivado SoC example 2015-06-10 16:48:06 +02:00
synth_soc.xdc Updated Vivado SoC example 2015-06-10 16:48:06 +02:00
synth_speed.tcl Minor vivado script changes 2015-06-27 23:05:00 +02:00
synth_speed.xdc Updated vivado scripts 2015-06-26 23:41:13 +02:00
table.sh Added "make table.txt" vivado scripts 2015-06-27 13:55:33 +02:00
tabtest.sh Added "make table.txt" vivado scripts 2015-06-27 13:55:33 +02:00
tabtest.v Added "make table.txt" vivado scripts 2015-06-27 13:55:33 +02:00