VexRiscv/scripts/Murax/iCE40HX8K-EVB/Makefile

39 lines
914 B
Makefile
Raw Normal View History

2017-12-27 16:21:55 -05:00
2017-12-29 07:18:14 -05:00
VERILOG = ../../../Murax.v toplevel.v toplevel_pll.v
2017-12-27 16:21:55 -05:00
generate :
2019-01-03 14:07:38 -05:00
(cd ../../..; sbt "runMain vexriscv.demo.MuraxWithRamInit")
2017-12-27 16:21:55 -05:00
2017-12-29 07:18:14 -05:00
../../../Murax.v :
2019-01-03 14:07:38 -05:00
(cd ../../..; sbt "runMain vexriscv.demo.MuraxWithRamInit")
2017-12-27 16:21:55 -05:00
../../../Murax.v*.bin:
bin/toplevel.blif : ${VERILOG} ../../../Murax.v*.bin
2017-12-27 16:21:55 -05:00
mkdir -p bin
rm -f Murax.v*.bin
cp ../../../Murax.v*.bin . | true
2017-12-27 16:21:55 -05:00
yosys -v3 -p "synth_ice40 -top toplevel -blif bin/toplevel.blif" ${VERILOG}
bin/toplevel.asc : toplevel.pcf bin/toplevel.blif
arachne-pnr -p toplevel.pcf -d 8k --max-passes 600 -P ct256 bin/toplevel.blif -o bin/toplevel.asc
bin/toplevel.bin : bin/toplevel.asc
icepack bin/toplevel.asc bin/toplevel.bin
compile : bin/toplevel.bin
time: bin/toplevel.bin
icetime -tmd hx8k bin/toplevel.asc
prog : bin/toplevel.bin
iceprogduino bin/toplevel.bin
sudo-prog : bin/toplevel.bin
sudo iceprogduino bin/toplevel.bin
clean :
rm -rf bin
rm -f Murax.v*.bin