Example designs showing different ways to use F4PGA toolchains.
Go to file
Alessandro Comodi 768520b1b0 linux_litex: add XDC constraints
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
2020-05-02 14:17:47 +02:00
counter_test Add sdc files to examples 2020-04-23 13:37:19 +02:00
linux_litex_demo linux_litex: add XDC constraints 2020-05-02 14:17:47 +02:00
picosoc_demo Add sdc files to examples 2020-04-23 13:37:19 +02:00
.travis.yml Update .travis.yml 2020-04-23 11:48:12 +02:00
LICENSE Add LICENSE 2020-04-21 14:58:08 +02:00
README.md Add README 2020-04-28 13:28:12 +02:00

README.md

SymbiFlow examples

This repository provides example FPGA designs that can be built using SymbiFlow open source toolchain. The examples target the Artix-7 devices.

The repository includes:

  • Travis CI configuration file

  • Example FPGA designs including:

    • Verilog code
    • Pin constraints files
    • Timing constraints files
    • Makefiles for running the SymbiFlow toolchain

Description

Travis-based CI in this repository runs all the steps required to build the example designs and generate bitstreams for programming the FPGA devices.

The CI performs the following steps:

The example designs are provided in separate directories:

  1. counter - simple 4-bit counter driving LEDs. The design targets the Basys3 board .
  2. picosoc - picorv32 based SoC. The design targets the Basys3 board.
  3. linux_litex - LiteX based system with Linux capable VexRiscv core. The design includes DDR and Ethernet controllers. The design targets the Arty board.

The Linux images for the linux_litex example can be build following the linux on litex vexriscv instructions.

The Travis-based CI performs all the necessary steps to build the example designs and generate the bitstreams. If you want to manually build the examples, run following commands:

git clone https://github.com/antmicro/symbiflow-examples.git
cd symbiflow-examples
wget https://repo.anaconda.com/miniconda/Miniconda3-latest-Linux-x86_64.sh -O miniconda.sh
bash miniconda.sh -b -p $HOME/miniconda
source "$HOME/miniconda/etc/profile.d/conda.sh"
conda config --set always_yes yes --set changeps1 no
conda config --add channels conda-forge
conda config --add channels symbiflow
conda update -q conda
conda activate
conda install -c symbiflow yosys
conda install -c symbiflow yosys-plugins
conda install -c symbiflow vtr=8.0.0.rc2_3575_g253f75b6d
conda install lxml simplejson intervaltree python-constraint git pip
pip install git+https://github.com/symbiflow/fasm
wget "https://storage.googleapis.com/symbiflow-arch-defs/artifacts/prod/foss-fpga-tools/symbiflow-arch-defs/continuous/install/4/20200416-002215/symbiflow-arch-defs-install-a321d9d9.tar.xz"
tar -xf symbiflow-arch-defs-install-a321d9d9.tar.xz
export PATH=$PWD/install/bin:$PATH
# counter example
pushd counter_test && make && popd
# picosoc example
pushd picosoc_demo && make && popd
# litex example
pushd linux_litex_demo && make && popd