Commit Graph

1040 Commits

Author SHA1 Message Date
Tomasz Michalak 21d948847f
Merge pull request #574 from antmicro/umarcor/action
Add F4PGA Action
2022-09-29 11:54:46 +02:00
Unai Martinez-Corral 6aa3995f78 f4pga: cleanup
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-29 00:51:28 +01:00
Unai Martinez-Corral 27b7d4bf31 add F4PGA Action
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-29 00:18:54 +01:00
Unai Martinez-Corral e0037de59f
f4pga/flows: mv common_modules modules (#638) 2022-09-28 23:12:16 +02:00
Unai Martinez-Corral bae00eb72b
f4pga/flows/common: update docstring
Co-authored-by: Krzysztof Boroński <94375110+kboronski-ant@users.noreply.github.com>
2022-09-28 22:05:44 +01:00
Unai Martinez-Corral c3a3e4e90d
docs/getting-started: typo (#639) 2022-09-25 16:13:44 +02:00
Brutspark 1c61e4195d
Design Flows <Flows>
Design Flows <Flows> was Desing Flows <Flows>
2022-09-25 03:01:34 +04:00
Unai Martinez-Corral d6957b87c6 f4pga/flows: mv common_modules modules
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-23 10:12:37 +02:00
Tomasz Michalak ebf85baba5
Merge pull request #637 from antmicro/umarcor/lattice
f4pga/flows: support ice40
2022-09-23 10:06:35 +02:00
Unai Martinez-Corral e821a2c637 f4pga/flows/yosys: support optional value 'extra_args'
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-23 09:58:25 +02:00
Unai Martinez-Corral bacc41ddd2 f4pga/flows: mv synth yosys
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-22 21:12:37 +01:00
Krzysztof Boronski c406d26b3a f4pga/flows: support ice40
* add nextpnr base module
* add nextpnr-ice40 variant
* update part_db.yml
* add ice40 flow
* add CI job 'Lattice'

Co-Authored-By: Unai Martinez-Corral <umartinezcorral@antmicro.com>
Signed-off-by: Krzysztof Boronski <kboronski@antmicro.com>
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-22 21:12:37 +01:00
Unai Martinez-Corral bb5d0bb24c
docs/changes: add 8 (#636)
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-08 13:30:31 +02:00
Unai Martinez-Corral 6b4976a028 f4pga/wrappers/sh/quicklogic/synth: partially revert 9e327656
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-07 14:28:39 +02:00
Unai Martinez-Corral 4170bc49ac
f4pga/flows/common_modules/synth: always use 'read_verilog' (#634) 2022-09-07 03:55:03 +02:00
Unai Martinez-Corral 9e327656a1 f4pga/wrappers/sh/synth: update
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-07 03:32:00 +02:00
Unai Martinez-Corral 409d9ca03c f4pga/flows/common_modules/synth: cleanup
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-07 03:32:00 +02:00
Krzysztof Boronski 5350ec87f7 Simplify synth module, always user read_verilog
Signed-off-by: Krzysztof Boronski <kboronski@antmicro.com>
2022-09-07 03:32:00 +02:00
Unai Martinez-Corral ce0c29bddb
f4pga/wrappers/tcl: combine 'conv.*.tcl' into 'synth.*.tcl' (#633)
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-06 23:50:29 +02:00
Unai Martinez-Corral df95a8a987
f4pga/utils: all python scripts moved from quicklogic arch-defs tarballs (#613) 2022-09-06 22:29:25 +02:00
Pawel Czarnecki 236b07be5d f4pga/utils: all python scripts moved from quicklogic arch-defs tarballs
Co-Authored-By: Unai Martinez-Corral <umartinezcorral@antmicro.com>
Signed-off-by: Pawel Czarnecki <pczarnecki@antmicro.com>
2022-09-06 22:07:14 +02:00
Unai Martinez-Corral cf35028b0a scripts/prepare_environment: remove all python scripts from arch-defs packages
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-06 05:22:18 +02:00
Unai Martinez-Corral e6b7f346ce f4pga/utils/xc7/create_place_constraints: fix reading stdout of subprocess_run
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-06 05:14:27 +02:00
Unai Martinez-Corral 153ba52cc3
docs/how: fix link to f4pga-arch-defs repo (#632) 2022-09-05 17:43:42 +02:00
Ezra Thomas aa6e78e662
Fixed broken link to f4pga-arch-defs repo. 2022-09-05 11:35:27 -04:00
Unai Martinez-Corral 2829f61ee9 f4pga/utils/xc7/create_ioplace: pcf is a path, not a TextIOWrapper
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-02 03:30:38 +02:00
Unai Martinez-Corral 9946ed7f3d
f4pga/utils: all python scripts moved from xc7 arch-defs tarballs (#631) 2022-08-30 13:12:49 +01:00
Unai Martinez-Corral f88054c0a2 scripts/prepare_environment: remove all the python scripts included in xc7 arch-defs tarballs
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-30 04:47:52 +02:00
Unai Martinez-Corral 873ea7e61b f4pga/utils: all python scripts moved from xc7 arch-defs tarballs
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-30 04:47:52 +02:00
Unai Martinez-Corral de9ed1f3db f4pga/flows/common_modules/generic_script_wrapper: support script params of type list
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-30 01:06:45 +02:00
Unai Martinez-Corral a9b7ac7c3d
f4pga/flows: override user configuration with CLI options; allow overriding with None (#609) 2022-08-29 18:53:59 +01:00
Unai Martinez-Corral 7dcefb2757
f4pga/flows/common_modules/generic_script_wrapper: allow short flag names (#629) 2022-08-29 18:50:55 +01:00
Unai Martinez-Corral cfe69b69e9
f4pga/flows/common_modules/generic_script_wrapper: fix relocating files when paths are specified explicitely (#628) 2022-08-29 18:49:16 +01:00
Unai Martinez-Corral 306f916795
f4pga/flows/common_modules/place: update with path resolution that makes more sense (#627) 2022-08-29 18:47:01 +01:00
Unai Martinez-Corral 1efe0d27f0
f4pga/wrappers/sh: convert shell to python (#630) 2022-08-29 18:43:51 +01:00
Unai Martinez-Corral b90682d1a2 f4pga/wrappers/sh: call p_vpr_run directly
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-29 19:29:09 +02:00
Unai Martinez-Corral 23557375fd f4pga/wrappers/sh/generate_bitstream: convert arg parser from shell to python
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-29 19:29:09 +02:00
Unai Martinez-Corral eaed7ea8ad f4pga/wrappers/sh: pass F4PGA_SHARE_DIR to synth and ql only
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-29 19:29:09 +02:00
Unai Martinez-Corral cd88d6e1a6 f4pga/wrappers/sh/fasm2bels: convert arg parser from shell to python
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-29 19:29:09 +02:00
Unai Martinez-Corral 419da03f68 f4pga/wrappers/sh/synth: handle some envvars in python
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-29 19:29:07 +02:00
Unai Martinez-Corral 3c35010893 f4pga/wrappers/sh: use F4PGA_SHARE_DIR in python, instead of shell
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-29 19:28:17 +02:00
Unai Martinez-Corral 182d7f32b7
f4pga/wrappers/sh: convert vpr_common shell scripts to python (#626)
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-29 19:27:00 +02:00
Unai Martinez-Corral 1f36d814ff
f4pga: split_inouts moved from arch-defs (#625) 2022-08-29 18:26:06 +01:00
Krzysztof Boronski 8b04f939d6 generic script wrapper: allow short flag names
Signed-off-by: Krzysztof Boronski <kboronski@antmicro.com>
2022-08-29 12:59:47 +02:00
Krzysztof Boronski ed7d5ec51a Fix relocating files when paths for generic script wrapper are specified explicitely
Signed-off-by: Krzysztof Boronski <kboronski@antmicro.com>
2022-08-29 12:54:20 +02:00
Krzysztof Boronski ee1dace73c Update place module with path resolution that makes more sense
Signed-off-by: Krzysztof Boronski <kboronski@antmicro.com>
2022-08-29 12:52:59 +02:00
Unai Martinez-Corral 6e7c4b3f72 scripts/prepare_environment: remove split_inouts.py from extracted tarballs
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-29 12:19:49 +02:00
Unai Martinez-Corral 947b0807a0 f4pga: split_inouts moved from arch-defs
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-29 12:19:49 +02:00
Unai Martinez-Corral 831492aa0d
docs/changes: add 7 (#621) 2022-08-29 11:14:35 +01:00
Unai Martinez-Corral 7c918ab0f0 docs/changes/7: update ref
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-29 12:07:31 +02:00