Commit Graph

  • c4dddb4680
    Merge e84a315c47 into 9c049e21ac interfect 2024-08-13 22:22:24 -0400
  • e84a315c47
    Rewrite the description of RTL generation to make more sense interfect 2024-08-13 22:22:06 -0400
  • f33c0642f7 update 9c049e21ac gh-pages GitHub Actions 2024-07-18 00:17:53 +0000
  • 6b160af935
    Merge b0669bf0f4 into 9c049e21ac Carlos Eduardo 2024-06-13 18:49:35 -0300
  • b0669bf0f4 Allow passing defines to symbiflow_synth Carlos de Paula 2024-06-12 15:07:30 -0300
  • 0dbe71d68e
    Merge 81581387ec into 9c049e21ac arturkow2 2023-10-12 15:09:05 +0200
  • 81581387ec
    f4pga/flows: fix usage of clock pads on eos-s3 Artur Kowalski 2023-10-11 18:11:22 +0200
  • 905055c905
    f4pga/flows: fix openocd and jlink script generation Artur Kowalski 2023-10-11 18:07:01 +0200
  • 1a96dd299c
    f4pga/flows: fix typos in eos-s3 config Artur Kowalski 2023-10-11 17:50:43 +0200
  • 12941502b1
    Merge 954d4590b6 into 9c049e21ac Mads Rumle Nordstrøm 2023-10-01 18:07:38 +0000
  • 954d4590b6 Fixed CLI override function Mads Rumle Nordstroem 2023-10-01 20:01:42 +0200
  • 3be3517ba2
    Merge 0f672ac211 into 9c049e21ac Unai Martinez-Corral 2023-09-22 21:00:42 -0700
  • 7663e30676
    Merge b43658b61f into 9c049e21ac Unai Martinez-Corral 2023-09-22 21:00:42 -0700
  • 3af4f97b2f
    Merge b8ac98c0fd into 9c049e21ac Unai Martinez-Corral 2023-09-22 21:00:42 -0700
  • 39a39cea0e
    Merge 76d722a6bb into 9c049e21ac Unai Martinez-Corral 2023-09-22 21:00:42 -0700
  • 76a9ab0df2
    Merge da37390226 into 9c049e21ac dependabot[bot] 2023-09-22 21:00:42 -0700
  • 9c049e21ac
    Merge pull request #662 from antmicro/svplugin-instead-of-uhdm main Karol Gugala 2023-09-13 13:14:14 +0200
  • da37390226
    build(deps): bump actions/checkout from 3 to 4 dependabot/github_actions/actions/checkout-4 dependabot[bot] 2023-09-11 02:13:02 +0000
  • aefaa81f23 Change deprecated Yosys UHDM plugin to SV plugin Krzysztof Bieganski 2023-09-10 21:05:18 +0200
  • 76d722a6bb merge upstream/main Unai Martinez-Corral 2023-04-25 12:06:55 +0200
  • b8ac98c0fd f4pga: add f4pga utils command support and add auxDir builtin variable Pawel Czarnecki 2022-08-12 15:26:21 +0200
  • 103ba42b72 mv f4pga/utils f4pga/aux/utils Unai Martinez-Corral 2022-09-06 21:53:36 +0200
  • 0f672ac211 docs: use autoprogram Unai Martinez-Corral 2022-03-15 02:31:31 +0100
  • 213e76604f WIP cli with pyAttributes Unai Martinez-Corral 2022-03-13 08:07:04 +0100
  • b43658b61f docs/f4pga: update Unai Martinez-Corral 2022-08-09 17:58:06 +0200
  • ecc7739f14 docs/f4pga: convert README.md to rst pages Unai Martinez-Corral 2022-08-09 17:34:17 +0200
  • d65b667d45 docs/f4pga: add README.md Unai Martinez-Corral 2022-07-28 16:05:17 +0200
  • 835a40534f
    Merge pull request #657 from antmicro/umarcor/docs/update Tomasz Michalak 2023-04-22 14:31:59 +0200
  • 0da509737b docs/conf: update extlinks syntax Unai Martinez-Corral 2023-02-20 18:10:52 +0100
  • b6c5fffd2e
    Merge pull request #653 from antmicro/umarcor/shields Tomasz Michalak 2023-02-21 09:25:02 +0100
  • 9483f0729a
    build(deps): bump third_party/make-env from `33b80bd` to `bc9ec7f` (#656) dependabot[bot] 2023-02-13 03:35:27 +0000
  • 2ec24e0b19
    build(deps): bump third_party/make-env from `33b80bd` to `bc9ec7f` dependabot[bot] 2023-02-13 03:31:19 +0000
  • 62b2c8f42f readme: update shield syntax (badges/shields#8671) Unai Martinez-Corral 2023-01-24 19:31:42 +0100
  • 10ba0675e9
    Merge pull request #654 from antmicro/umarcor/black Tomasz Michalak 2023-02-07 21:54:31 +0100
  • 54c4c1ee44 f4pga: run black Unai Martinez-Corral 2023-02-07 21:34:39 +0100
  • 34008841c1
    Merge pull request #652 from ept221/patch-1 Karol Gugala 2023-01-29 09:43:16 +0100
  • 4ebbba1442
    Grammar fix Ezra Thomas 2023-01-21 12:13:45 -0500
  • 553af11ede
    build(deps): bump third_party/make-env from `75b12fa` to `33b80bd` (#651) dependabot[bot] 2022-12-27 02:09:35 +0000
  • 0dfdfffe52
    build(deps): bump third_party/make-env from `75b12fa` to `33b80bd` dependabot[bot] 2022-12-27 02:05:06 +0000
  • 4ec8448a4c
    build(deps): bump third_party/make-env from `59adb0f` to `75b12fa` (#650) dependabot[bot] 2022-12-26 02:17:27 +0000
  • c1020d3bbc
    build(deps): bump third_party/make-env from `59adb0f` to `75b12fa` dependabot[bot] 2022-12-26 02:13:42 +0000
  • f43bb728b1
    f4pga/flows: use proper pinmap CSV for qlf_k4n8 (#649) Unai Martinez-Corral 2022-12-05 15:04:24 +0000
  • a92635302f f4pga/flows: Use proper pinmap CSV for qlf_k4n8 Rafal Kolucki 2022-12-02 16:27:41 +0100
  • 5aafae6588
    Merge pull request #647 from prasadp4009/main Tim 'mithro' Ansell 2022-10-26 11:00:46 -0700
  • 3d20b384f0
    Fixed typo Prasad Pandit 2022-10-25 16:25:09 -0500
  • e1cd038f06
    f4pga/flows: cleanup (#644) Unai Martinez-Corral 2022-10-04 21:53:49 +0200
  • 6cb486e096
    f4pga/flows: split vpr to a file; create subdir 'tools'; mv nextpnr and vpr (#643) Unai Martinez-Corral 2022-10-04 21:47:54 +0200
  • b722212e85 add yosys-plugins Unai Martinez-Corral 2022-10-03 07:57:31 +0200
  • 24722f6d19 prepare to move into f4pga Unai Martinez-Corral 2022-10-03 05:57:46 +0200
  • dd479a7f87 f4pga/flows: cleanup Unai Martinez-Corral 2022-08-19 18:55:00 +0200
  • 94df736bee f4pga/flows: split vpr to a file; create subdir 'tools'; mv nextpnr and vpr Unai Martinez-Corral 2022-09-30 20:17:55 +0100
  • 18a2c00cdc f4pga/flows: vpr_specific_values as a list, not a function Unai Martinez-Corral 2022-09-30 20:05:55 +0100
  • 6fc118b5fa f4pga/flows/common: remove unused function Unai Martinez-Corral 2022-10-02 18:52:15 +0100
  • 4d52d3c721 f4pga/flows/common: cleanup Unai Martinez-Corral 2022-10-02 19:00:33 +0100
  • 3ef329ce6f ci/Action: fix artifacts Unai Martinez-Corral 2022-10-03 05:12:02 +0200
  • 137f61afb4
    f4pga/modules/{analysis|route}: fix vpr_options (#618) Unai Martinez-Corral 2022-10-01 20:23:47 +0200
  • df5f2aebfb f4pga/flows: make VprArgs explicit Unai Martinez-Corral 2022-09-30 19:20:33 +0100
  • 2174efa459 f4pga/flows/common_modules/route: pass vpr_extra_options Unai Martinez-Corral 2022-08-16 20:49:56 +0200
  • 7ad00b5c88 f4pga/flows/common_modules/analysis: pass vpr_extra_options Unai Martinez-Corral 2022-08-16 20:09:36 +0200
  • b30f113151 f4pga/flows/common.sub: print stdout on error optionally (#642) Krzysztof Boroński 2022-09-30 19:56:41 +0200
  • dbbaa6def4 common.sub: print stdout on error optionally Krzysztof Boronski 2022-09-30 19:19:03 +0200
  • 54802ec0e3
    Merge pull request #575 from antmicro/umarcor/tests Tomasz Michalak 2022-09-30 13:08:25 +0200
  • 2d90269229 add HDL tests Unai Martinez-Corral 2022-06-09 01:24:55 +0200
  • 21d948847f
    Merge pull request #574 from antmicro/umarcor/action Tomasz Michalak 2022-09-29 11:54:46 +0200
  • 6aa3995f78 f4pga: cleanup Unai Martinez-Corral 2022-09-29 00:47:51 +0100
  • 27b7d4bf31 add F4PGA Action Unai Martinez-Corral 2022-06-09 00:23:20 +0200
  • e0037de59f
    f4pga/flows: mv common_modules modules (#638) Unai Martinez-Corral 2022-09-28 23:12:16 +0200
  • bae00eb72b
    f4pga/flows/common: update docstring Unai Martinez-Corral 2022-09-28 22:05:44 +0100
  • b4c50b0ca8
    Merge 962c2164b6 into c3a3e4e90d Krzysztof Boroński 2022-09-28 19:53:19 +0000
  • 962c2164b6 Add license header to gen_flow_docs.py Krzysztof Boronski 2022-09-28 21:46:05 +0200
  • 984a21f463 Fix formatting Krzysztof Boronski 2022-09-28 21:44:08 +0200
  • b2221e4ba7 Generate documentation for platform flows Krzysztof Boronski 2022-09-28 21:39:51 +0200
  • 42593da82d Add flow inspection utilities Krzysztof Boronski 2022-09-28 21:37:52 +0200
  • 27208ce082
    Merge pull request #383 from hzeller/20220924-fix-use-of-which Karol Gugala 2022-09-26 18:26:35 +0200
  • 11d477e502 Fix deprecated use of `which` Henner Zeller 2022-09-24 22:20:32 -0700
  • c3a3e4e90d
    docs/getting-started: typo (#639) Unai Martinez-Corral 2022-09-25 16:13:44 +0200
  • 1c61e4195d
    Design Flows <Flows> Brutspark 2022-09-25 03:01:34 +0400
  • d6957b87c6 f4pga/flows: mv common_modules modules Unai Martinez-Corral 2022-09-22 21:30:18 +0100
  • ebf85baba5
    Merge pull request #637 from antmicro/umarcor/lattice Tomasz Michalak 2022-09-23 10:06:35 +0200
  • e821a2c637 f4pga/flows/yosys: support optional value 'extra_args' Unai Martinez-Corral 2022-09-23 09:43:07 +0200
  • bacc41ddd2 f4pga/flows: mv synth yosys Unai Martinez-Corral 2022-09-22 19:40:38 +0100
  • c406d26b3a f4pga/flows: support ice40 Krzysztof Boronski 2022-07-20 10:59:28 -0500
  • 16815866bf
    Merge 9d1490df89 into bb5d0bb24c Krzysztof Boroński 2022-09-12 17:17:23 +0000
  • 9d1490df89 Use python command to call scripts in tcl files Krzysztof Boronski 2022-09-12 19:16:49 +0200
  • b607d032ff
    Merge 5586453808 into bb5d0bb24c Krzysztof Boroński 2022-09-08 13:34:37 +0200
  • bb5d0bb24c
    docs/changes: add 8 (#636) Unai Martinez-Corral 2022-09-08 13:30:31 +0200
  • 836e9c707e docs/changes: add 8 Unai Martinez-Corral 2022-08-29 22:06:27 +0200
  • 68bb4c5925 scripts/prepare_environment: bump arch-defs packages Unai Martinez-Corral 2022-09-08 13:19:05 +0200
  • 3be913535e Rename aux -> auxiliary Krzysztof Boronski 2022-09-07 18:31:41 +0200
  • 693312f3ea Unified synthesis and json->eblif tcl scripts for yosys module. Added comments about optional outputs Krzysztof Boronski 2022-09-07 17:28:07 +0200
  • e82a4e6a38 Update tcl scripts to have inputs that are more friendly to arch-defs Krzysztof Boronski 2022-08-26 14:04:17 -0500
  • 5cce550b5a f4pga/setup: use glob pattern instead of a custom func Unai Martinez-Corral 2022-08-25 05:26:30 +0200
  • 0e55c3325f Update test flow configurations Krzysztof Boronski 2022-07-12 08:57:30 -0500
  • 7dc5c14f3b f4pga/eos-s3: use yosys module instead of the removed synth module Krzysztof Boronski 2022-08-01 16:39:05 -0500
  • 03500acd40 f4pga/common_modules/yosys: add is_dry subcommand Krzysztof Boronski 2022-08-25 02:11:50 +0200
  • ff58f9f7d1 Added aux directory Krzysztof Boronski 2022-07-11 12:13:49 -0500
  • 251c959c00 yosys module: save log Krzysztof Boronski 2022-07-06 11:02:14 -0500
  • 459807126a Yosys module Krzysztof Boronski 2022-06-23 08:37:25 -0500
  • 6b4976a028 f4pga/wrappers/sh/quicklogic/synth: partially revert 9e327656 Unai Martinez-Corral 2022-09-07 05:12:25 +0200
  • 4170bc49ac
    f4pga/flows/common_modules/synth: always use 'read_verilog' (#634) Unai Martinez-Corral 2022-09-07 03:55:03 +0200