Commit Graph

887 Commits

Author SHA1 Message Date
Unai Martinez-Corral e9a520a17a f4pga: remove F4PGA_BIN_DIR and VPRPATH
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-04 06:32:48 +02:00
Unai Martinez-Corral 98498b9330
docs: move 'understanding' from f4pga-examples as 'f4pga/Deprecated' (#603) 2022-08-04 06:02:57 +02:00
Unai Martinez-Corral e0086c7513 docs: move 'understanding' from f4pga-examples as 'f4pga/Deprecated'
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-04 05:54:49 +02:00
Unai Martinez-Corral 300cbea969
arch-defs tarballs now include usable environment and requirements files (#576) 2022-08-04 04:14:26 +02:00
Unai Martinez-Corral bad1df2599 docs/change: prepare 6
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-04 04:07:56 +02:00
Unai Martinez-Corral d63a2c2dbd ci/scripts: merge envvars.sh into prepare_environment.sh
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-04 04:07:28 +02:00
Unai Martinez-Corral 1688a97763 f4pga: the default share path does not include subdir 'install' by default
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-04 04:07:28 +02:00
Unai Martinez-Corral 5f5e54315e ci: rm f4pga-env
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-04 03:54:05 +02:00
Unai Martinez-Corral 1c444643b6 ci/prepare_environment: bump arch-defs packages
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-04 03:47:52 +02:00
Unai Martinez-Corral e1e9e61b82 ci/scripts: add envvars.sh; move f4pga-env stuff out from prepare_environment
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-04 03:47:38 +02:00
Unai Martinez-Corral 158b7b8a38 ci/prepare_environment: bump arch-defs packages
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-04 03:33:36 +02:00
Unai Martinez-Corral b0a7b2eea0 arch-defs tarballs now include usable environment and requirements files
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-04 03:33:16 +02:00
Unai Martinez-Corral b8efd597e4 test: add environment.yml and requirements.txt files
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-04 03:33:16 +02:00
Unai Martinez-Corral 033d09cc5c
f4pga/wrappers/sh/quicklogic: cleanup (#602)
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-03 13:34:06 +02:00
Unai Martinez-Corral 6498205975 f4pga/wrappers/sh/quicklogic/env: adding prjxray to PYTHONPATH is not required
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-03 13:24:34 +02:00
Unai Martinez-Corral 1be1eb283c f4pga/wrappers/sh/quicklogic: cleanup
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-03 01:42:05 +02:00
Unai Martinez-Corral cad8afe084
f4pga/wrappers: do not use shell scripts for quicklogic's 'write_*' commands (#599) 2022-08-02 13:30:28 +02:00
Unai Martinez-Corral e276ecfb4c ci: force deprecated
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-02 03:06:48 +02:00
Unai Martinez-Corral 082fab2a3f ci: test pip install
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-02 02:24:51 +02:00
Unai Martinez-Corral b730305701 f4pga/setup: optionally use .gitcommit
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-02 02:24:51 +02:00
Unai Martinez-Corral 2eddad761b add .gitattributes and .gitcommit
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-02 02:21:30 +02:00
Unai Martinez-Corral c6a4e2fd08 f4pga/setup: do not fail when installing tarballs having git available 2022-08-02 02:21:30 +02:00
Unai Martinez-Corral 865c1fda41 f4pga/setup: if git is available, append commit sha to version
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-02 01:45:39 +02:00
Unai Martinez-Corral d0dedab0c5 f4pga/setup: cleanup
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-02 01:45:39 +02:00
Unai Martinez-Corral b95042e7b6
docs/changes: update and show 5 (#597)
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-02 00:39:56 +02:00
Krzysztof Boroński d8d27d3216
ci: use extra verbose mode for f4pga build (#596)
Signed-off-by: Krzysztof Boronski <kboronski@antmicro.com>
2022-08-01 15:03:33 +02:00
Unai Martinez-Corral c342fc6ff1
f4pga enable new eos-s3 flow (#577) 2022-08-01 14:14:30 +02:00
Paweł Czarnecki ab727871ed CI: missing newline at the end of file
Signed-off-by: Paweł Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:57:22 +02:00
Pawel Czarnecki 9659ff1be6 platforms: eos-s3: update paths to python scripts
Signed-off-by: Pawel Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:04 +02:00
Pawel Czarnecki 2f0da9b169 platforms: eos-s3: parametrize package name
Signed-off-by: Pawel Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:04 +02:00
Pawel Czarnecki 93392fa9cb platforms: eos-s3: add fasm2bels stage
Signed-off-by: Pawel Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:04 +02:00
Paweł Czarnecki 29b6757fd7 platforms: eos-s3: introduce additional bitstream+iomux_config targets
Signed-off-by: Paweł Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:04 +02:00
Paweł Czarnecki 4a6cb20312 platforms: eos-s3: fix bitstream paths
Signed-off-by: Paweł Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:04 +02:00
Paweł Czarnecki 25094b2706 platforms: eos-s3: make build_dir a required value in write_bistream
Signed-off-by: Paweł Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:04 +02:00
Paweł Czarnecki 6f89eafe6e platforms: eos-s3: remove db-root arg from write_bitstream
Signed-off-by: Paweł Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:04 +02:00
Paweł Czarnecki e88f714877 common modules: eos-s3: add analysis stage
Signed-off-by: Paweł Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:04 +02:00
Paweł Czarnecki 6dafe0b6d1 platforms: eos-s3: keep separate options for vpr steps
Signed-off-by: Paweł Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:04 +02:00
Paweł Czarnecki c7c5beec8b platforms: eos-s3: add iomux config generation targets
Signed-off-by: Paweł Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:04 +02:00
Paweł Czarnecki 422f54f6d9 platforms: eos-s3: add place constraints generation target
Signed-off-by: Paweł Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:04 +02:00
Paweł Czarnecki a6c2584250 platforms: eos-s3: add preapre_sdc target
Signed-off-by: Paweł Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:04 +02:00
Paweł Czarnecki 393de41208 platforms: eos-s3: add missing env variables
Signed-off-by: Paweł Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:04 +02:00
Pawel Czarnecki ab4b7a6c61 modules: synth: use pathlib
Signed-off-by: Pawel Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:04 +02:00
Paweł Czarnecki e4f0639f8d modules: synth: use UTILS_PATH env var for split_inouts.py path
Signed-off-by: Paweł Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:03 +02:00
Paweł Czarnecki 7b7fa8ae3c init: disable prjxray requirement in common code
Signed-off-by: Paweł Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:03 +02:00
Paweł Czarnecki 2bb2ae2037 init: set share_dir_path according to FPGA_FAM env var
Signed-off-by: Paweł Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:03 +02:00
Paweł Czarnecki c6dce07e5b CI: eos-s3: introduce F4PGA test flow
Signed-off-by: Paweł Czarnecki <pczarnecki@antmicro.com>
2022-08-01 13:56:03 +02:00
Unai Martinez-Corral 9dd06cd92a ci: test F4PGA eos-s3 flow
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-01 13:53:17 +02:00
Unai Martinez-Corral 8b64ef3ad7
docs: keep track of relevant changes (#594)
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-01 13:23:12 +02:00
Unai Martinez-Corral ec99f9d8b4 docs/changes: examples was updated
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-01 13:01:11 +02:00
Unai Martinez-Corral 355117223d docs/index: update
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-01 13:01:11 +02:00