litex-boards/litex_boards/targets/de2_115.py

113 lines
4.1 KiB
Python
Raw Normal View History

2019-06-10 11:09:51 -04:00
#!/usr/bin/env python3
# This file is Copyright (c) 2015-2019 Florent Kermarrec <florent@enjoy-digital.fr>
# License: BSD
2019-06-10 11:09:51 -04:00
import argparse
from migen import *
2020-01-09 13:46:39 -05:00
from migen.genlib.resetsync import AsyncResetSynchronizer
2019-06-10 11:09:51 -04:00
from litex_boards.platforms import de2_115
2019-06-10 11:09:51 -04:00
from litex.soc.integration.soc_core import *
2019-06-10 11:09:51 -04:00
from litex.soc.integration.soc_sdram import *
from litex.soc.integration.builder import *
from litedram.modules import IS42S16320
from litedram.phy import GENSDRPHY
# CRG ----------------------------------------------------------------------------------------------
2019-06-10 11:09:51 -04:00
class _CRG(Module):
def __init__(self, platform):
self.clock_domains.cd_sys = ClockDomain()
2019-06-10 11:09:51 -04:00
self.clock_domains.cd_sys_ps = ClockDomain()
# # #
2020-01-09 13:46:39 -05:00
# Clk / Rst
2019-06-10 11:09:51 -04:00
clk50 = platform.request("clk50")
2020-01-09 13:46:39 -05:00
platform.add_period_constraint(clk50, 1e9/50e6)
# PLL
pll_locked = Signal()
pll_clk_out = Signal(6)
2019-06-10 11:09:51 -04:00
self.specials += \
Instance("ALTPLL",
p_BANDWIDTH_TYPE = "AUTO",
p_CLK0_DIVIDE_BY = 1,
p_CLK0_DUTY_CYCLE = 50,
p_CLK0_MULTIPLY_BY = 1,
2020-01-09 13:46:39 -05:00
p_CLK0_PHASE_SHIFT = "0",
p_CLK1_DIVIDE_BY = 1,
p_CLK1_DUTY_CYCLE = 50,
p_CLK1_MULTIPLY_BY = 1,
p_CLK1_PHASE_SHIFT = "-10000",
p_COMPENSATE_CLOCK = "CLK0",
p_INCLK0_INPUT_FREQUENCY = 20000,
2020-01-09 13:46:39 -05:00
p_OPERATION_MODE = "NORMAL",
i_INCLK = clk50,
2020-01-09 13:46:39 -05:00
o_CLK = pll_clk_out,
i_ARESET = 0,
i_CLKENA = 0x3f,
i_EXTCLKENA = 0xf,
i_FBIN = 1,
i_PFDENA = 1,
i_PLLENA = 1,
2020-01-09 13:46:39 -05:00
o_LOCKED = pll_locked,
2019-06-10 11:09:51 -04:00
)
2020-01-09 13:46:39 -05:00
self.comb += [
self.cd_sys.clk.eq(pll_clk_out[0]),
self.cd_sys_ps.clk.eq(pll_clk_out[1]),
]
self.specials += [
AsyncResetSynchronizer(self.cd_sys, ~pll_locked),
AsyncResetSynchronizer(self.cd_sys_ps, ~pll_locked)
]
# SDRAM clock
2019-06-10 11:09:51 -04:00
self.comb += platform.request("sdram_clock").eq(self.cd_sys_ps.clk)
# BaseSoC ------------------------------------------------------------------------------------------
2019-06-10 11:09:51 -04:00
class BaseSoC(SoCCore):
2019-06-10 11:09:51 -04:00
def __init__(self, sys_clk_freq=int(50e6), **kwargs):
assert sys_clk_freq == int(50e6)
platform = de2_115.Platform()
# SoCCore ----------------------------------------------------------------------------------
SoCCore.__init__(self, platform, clk_freq=sys_clk_freq, **kwargs)
2019-06-10 11:09:51 -04:00
# CRG --------------------------------------------------------------------------------------
2019-06-10 11:09:51 -04:00
self.submodules.crg = _CRG(platform)
# SDR SDRAM --------------------------------------------------------------------------------
2019-06-10 11:09:51 -04:00
if not self.integrated_main_ram_size:
self.submodules.sdrphy = GENSDRPHY(platform.request("sdram"))
self.add_sdram("sdram",
phy = self.sdrphy,
module = IS42S16320(self.clk_freq, "1:1"),
origin = self.mem_map["main_ram"],
size = kwargs.get("max_sdram_size", 0x40000000),
l2_cache_size = kwargs.get("l2_size", 8192),
l2_cache_min_data_width = kwargs.get("min_l2_data_width", 128),
l2_cache_reverse = True
)
2019-06-10 11:09:51 -04:00
# Build --------------------------------------------------------------------------------------------
2019-06-10 11:09:51 -04:00
def main():
parser = argparse.ArgumentParser(description="LiteX SoC on DE2-115")
builder_args(parser)
soc_sdram_args(parser)
args = parser.parse_args()
soc = BaseSoC(**soc_sdram_argdict(args))
builder = Builder(soc, **builder_argdict(args))
builder.build()
if __name__ == "__main__":
main()