litex-boards/litex_boards/targets/digilent_basys3.py

96 lines
4.1 KiB
Python
Raw Normal View History

2021-05-25 02:44:26 -04:00
#!/usr/bin/env python3
2021-05-17 04:39:16 -04:00
#
# This file is part of LiteX-Boards.
#
# Copyright (c) 2020-2021 Xuanyu Hu <xuanyu.hu@whu.edu.cn>
# SPDX-License-Identifier: BSD-2-Clause
from migen import *
from litex.gen import LiteXModule
from litex_boards.platforms import digilent_basys3
2021-05-17 04:39:16 -04:00
from litex.soc.cores.clock import *
from litex.soc.integration.soc import SoCRegion
from litex.soc.integration.soc_core import *
from litex.soc.integration.builder import *
from litex.soc.cores.video import VideoVGAPHY
from litex.soc.cores.led import LedChaser
# CRG ----------------------------------------------------------------------------------------------
class _CRG(LiteXModule):
2021-05-17 04:39:16 -04:00
def __init__(self, platform, sys_clk_freq):
self.rst = Signal()
self.cd_sys = ClockDomain()
self.cd_vga = ClockDomain()
2021-05-17 04:39:16 -04:00
self.pll = pll = S7MMCM(speedgrade=-1)
self.comb += pll.reset.eq(platform.request("user_btnc") | self.rst)
2021-05-17 04:39:16 -04:00
pll.register_clkin(platform.request("clk100"), 100e6)
2021-05-25 02:44:26 -04:00
pll.create_clkout(self.cd_sys, sys_clk_freq)
pll.create_clkout(self.cd_vga, 40e6)
platform.add_false_path_constraints(self.cd_sys.clk, pll.clkin) # Ignore sys_clk to pll.clkin path created by SoC's rst.
#platform.add_platform_command("set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk100_IBUF]")
2021-05-17 04:39:16 -04:00
# BaseSoC ------------------------------------------------------------------------------------------
2021-05-25 02:44:26 -04:00
2021-05-17 04:39:16 -04:00
class BaseSoC(SoCCore):
def __init__(self, sys_clk_freq=int(75e6), with_led_chaser=True, with_video_terminal=False, **kwargs):
platform = digilent_basys3.Platform()
2021-05-17 04:39:16 -04:00
# CRG --------------------------------------------------------------------------------------
self.crg = _CRG(platform, sys_clk_freq)
2021-05-17 04:39:16 -04:00
# SoCCore ----------------------------------_-----------------------------------------------
SoCCore.__init__(self, platform, sys_clk_freq, ident="LiteX SoC on Basys3", **kwargs)
2021-05-25 02:44:26 -04:00
# Video ------------------------------------------------------------------------------------
if with_video_terminal:
self.videophy = VideoVGAPHY(platform.request("vga"), clock_domain="vga")
2021-05-17 04:39:16 -04:00
if with_video_terminal:
self.add_video_terminal(phy=self.videophy, timings="800x600@60Hz", clock_domain="vga")
2021-05-25 02:44:26 -04:00
# Leds -------------------------------------------------------------------------------------
if with_led_chaser:
self.leds = LedChaser(
pads = platform.request_all("user_led"),
sys_clk_freq = sys_clk_freq)
2021-05-17 04:39:16 -04:00
# Build --------------------------------------------------------------------------------------------
def main():
from litex.build.parser import LiteXArgumentParser
parser = LiteXArgumentParser(platform=digilent_basys3.Platform, description="LiteX SoC on Basys3.")
parser.add_target_argument("--sys-clk-freq", default=75e6, type=float, help="System clock frequency.")
sdopts = parser.target_group.add_mutually_exclusive_group()
sdopts.add_argument("--with-spi-sdcard", action="store_true", help="Enable SPI-mode SDCard support.")
sdopts.add_argument("--with-sdcard", action="store_true", help="Enable SDCard support.")
parser.add_target_argument("--sdcard-adapter", help="SDCard PMOD adapter (digilent or numato).")
viopts = parser.target_group.add_mutually_exclusive_group()
viopts.add_argument("--with-video-terminal", action="store_true", help="Enable Video Terminal (VGA).")
2021-05-17 04:39:16 -04:00
args = parser.parse_args()
soc = BaseSoC(
sys_clk_freq = args.sys_clk_freq,
with_video_terminal = args.with_video_terminal,
**parser.soc_argdict
2021-05-17 04:39:16 -04:00
)
soc.platform.add_extension(digilent_basys3._sdcard_pmod_io)
2021-05-17 04:39:16 -04:00
if args.with_spi_sdcard:
soc.add_spi_sdcard()
if args.with_sdcard:
soc.add_sdcard()
builder = Builder(soc, **parser.builder_argdict)
if args.build:
builder.build(**parser.toolchain_argdict)
2021-05-17 04:39:16 -04:00
if args.load:
prog = soc.platform.create_programmer()
prog.load_bitstream(builder.get_bitstream_filename(mode="sram"))
2021-05-17 04:39:16 -04:00
if __name__ == "__main__":
main()