litex/examples/de0_nano
Florent Kermarrec 4864e08b88 add Setup.py / .gitignore
start documentation
2012-09-18 00:22:52 +02:00
..
build Initialize de0_nano example 2012-09-09 21:18:09 +02:00
client add Setup.py / .gitignore 2012-09-18 00:22:52 +02:00
Makefile examples/de0_nano : add load cmd / change rst polarity 2012-09-12 16:53:08 +02:00
build.py examples/de0_nano : add load cmd / change rst polarity 2012-09-12 16:53:08 +02:00
constraints.py use of new migen clock_domains convention 2012-09-17 15:27:37 +02:00
de0_nano.qpf add ramp / square / sinus signal generation in examples 2012-09-16 11:49:16 +02:00
de0_nano.sdc add ramp / square / sinus signal generation in examples 2012-09-16 11:49:16 +02:00
timings.py Initialize de0_nano example 2012-09-09 21:18:09 +02:00
top.py add test_MigLa_1 example : csr access analyzing 2012-09-17 20:15:35 +02:00