picorv32/scripts/vivado
Clifford Wolf 94edf3565d Vivado "system" example 2015-07-09 02:48:14 +02:00
..
.gitignore Vivado "system" example 2015-07-09 02:48:14 +02:00
Makefile Vivado "system" example 2015-07-09 02:48:14 +02:00
firmware.S Vivado "system" example 2015-07-09 02:48:14 +02:00
firmware.c Vivado "system" example 2015-07-09 02:48:14 +02:00
firmware.lds Vivado "system" example 2015-07-09 02:48:14 +02:00
synth_area.tcl Enabled report_timing in vivado synth_area scripts 2015-07-08 10:16:10 +02:00
synth_area.xdc Makefile for Vivado scripts 2015-06-09 12:45:45 +02:00
synth_area_large.tcl Updated evaluation 2015-07-08 22:31:03 +02:00
synth_area_regular.tcl Updated evaluation 2015-07-08 22:31:03 +02:00
synth_area_small.tcl Updated evaluation 2015-07-08 22:31:03 +02:00
synth_area_top.v Updated area and timing stats 2015-07-02 14:41:15 +02:00
synth_speed.tcl Added resource utilization to xilinx eval 2015-06-28 13:51:37 +02:00
synth_speed.xdc Updated vivado scripts 2015-06-26 23:41:13 +02:00
synth_system.tcl Vivado "system" example 2015-07-09 02:48:14 +02:00
synth_system.xdc Vivado "system" example 2015-07-09 02:48:14 +02:00
system.v Vivado "system" example 2015-07-09 02:48:14 +02:00
system_tb.v Vivado "system" example 2015-07-09 02:48:14 +02:00
table.sh Added "make table.txt" vivado scripts 2015-06-27 13:55:33 +02:00
tabtest.sh Updated evaluation 2015-07-08 22:31:03 +02:00
tabtest.v Updated evaluation 2015-07-08 22:31:03 +02:00