spi/tests/simtop.v

108 lines
1.6 KiB
Coq
Raw Permalink Normal View History

2024-01-23 14:05:26 -05:00
/* (c) Peter McGoron 2022-2024 v0.4
*
* This code is disjunctively dual-licensed under the MPL v2.0, or the
* CERN-OHL-W v2.
2022-10-22 18:34:54 -04:00
*/
module simtop
#(
2024-01-23 14:05:26 -05:00
parameter ENABLE_MOSI = 1,
parameter ENABLE_MISO = 1,
2022-10-22 18:34:54 -04:00
parameter POLARITY = 0,
parameter PHASE = 0,
parameter WID = 24,
parameter WID_LEN = 5
) (
input clk,
2023-04-20 15:10:04 -04:00
input rst_L,
2024-01-23 14:05:26 -05:00
2022-10-22 18:34:54 -04:00
input [WID-1:0] master_to_slave,
output [WID-1:0] from_master,
2024-01-23 14:05:26 -05:00
2022-10-22 18:34:54 -04:00
input [WID-1:0] slave_to_master,
output [WID-1:0] from_slave,
2024-01-23 14:05:26 -05:00
2022-10-22 18:34:54 -04:00
input activate,
2022-10-23 12:37:07 -04:00
`ifndef SPI_MASTER_SS
2022-10-22 18:34:54 -04:00
input ss,
2022-10-23 12:37:07 -04:00
`endif
2022-10-22 18:34:54 -04:00
input rdy,
output master_finished,
output ready_to_arm,
2022-10-22 18:34:54 -04:00
output err
);
wire miso;
wire mosi;
wire sck;
2022-10-23 12:37:07 -04:00
wire ss_L;
`ifndef SPI_MASTER_SS
assign ss_L = !ss;
`endif
2022-10-22 18:34:54 -04:00
2024-01-23 14:05:26 -05:00
wire slave_finished;
wire slave_error;
2022-10-22 18:34:54 -04:00
`SPI_MASTER_TYPE
#(
2022-10-23 12:37:07 -04:00
`ifdef SPI_MASTER_SS
.SS_WAIT(5),
.SS_WAIT_TIMER_LEN(3),
`endif
2024-01-23 14:05:26 -05:00
.ENABLE_MOSI(ENABLE_MOSI),
.ENABLE_MISO(ENABLE_MISO),
2022-10-23 12:37:07 -04:00
.CYCLE_HALF_WAIT(5),
.TIMER_LEN(3),
2022-10-22 18:34:54 -04:00
.POLARITY(POLARITY),
.PHASE(PHASE),
.WID(WID),
2022-10-23 12:37:07 -04:00
.WID_LEN(WID_LEN)
2022-10-22 18:34:54 -04:00
) master (
.clk(clk),
2023-04-20 15:10:04 -04:00
.rst_L(rst_L),
2022-10-22 18:34:54 -04:00
.to_slave(master_to_slave),
.mosi(mosi),
.from_slave(from_slave),
.miso(miso),
2022-10-23 12:37:07 -04:00
`ifdef SPI_MASTER_SS
.ss_L(ss_L),
2022-10-22 18:34:54 -04:00
`endif
.sck_wire(sck),
.finished(master_finished),
.ready_to_arm(ready_to_arm),
2022-10-22 18:34:54 -04:00
.arm(activate)
);
2024-01-23 14:05:26 -05:00
spi_slave #(
.ENABLE_MOSI(ENABLE_MOSI),
.ENABLE_MISO(ENABLE_MISO),
2022-10-22 18:34:54 -04:00
.POLARITY(POLARITY),
.PHASE(PHASE),
.WID(WID),
.WID_LEN(WID_LEN)
) slave (
.clk(clk),
2023-04-20 15:10:04 -04:00
.rst_L(rst_L),
2022-10-22 18:34:54 -04:00
.sck(sck),
.ss_L(ss_L),
.from_master(from_master),
.mosi(mosi),
.to_master(slave_to_master),
.miso(miso),
.finished(slave_finished),
.rdy(rdy),
.err(err)
);
`ifdef SIMULATION
2022-10-23 12:37:07 -04:00
initial begin
$dumpfile(`VCDFILE);
$dumpvars;
end
`endif
2022-10-23 12:37:07 -04:00
2022-10-22 18:34:54 -04:00
endmodule