Commit Graph

1071 Commits

Author SHA1 Message Date
Unai Martinez-Corral f8e0f65b48 f4pga: F4PGA_INSTALL_DIR is optional
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-19 10:00:17 +02:00
Unai Martinez-Corral 5a576e64bc f4pga/flows/flow: style 2022-08-19 10:00:17 +02:00
Unai Martinez-Corral 3315f705a3 f4pga/flows: split commands
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-19 10:00:17 +02:00
Unai Martinez-Corral 7ca33a0ca4 f4pga/flows: split Flow
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-19 10:00:17 +02:00
Unai Martinez-Corral c6e0c3bcc6 f4pga/flows: style
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-19 10:00:17 +02:00
Unai Martinez-Corral ebabd6a5a3 f4pga/flows: mv module_inspector.py inspector.py
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-19 10:00:17 +02:00
Unai Martinez-Corral fa77c04e0a f4pga/flows: mv module_runner.py runner.py
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-19 10:00:17 +02:00
Unai Martinez-Corral b6f8fe83dc f4pga: add submodule 'flows'
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-19 10:00:17 +02:00
Unai Martinez-Corral 8eb0441d71
f4pga/setup: same installation regardless of FPGA_FAM (#619) 2022-08-18 11:49:00 +02:00
Unai Martinez-Corral 1bc7804fde f4pga/setup: style
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-17 12:55:22 +02:00
Unai Martinez-Corral cfa9563e90 f4pga/setup: same installation regardless of FPGA_FAM
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-17 12:55:22 +02:00
Tomasz Michalak d54ac407fe
Merge pull request #604 from antmicro/umarcor/tcl
f4pga: yosys TCL wrappers moved from f4pga-arch-defs
2022-08-17 12:28:39 +02:00
Unai Martinez-Corral c1c612b575 f4pga: yosys TCL wrappers moved from f4pga-arch-defs
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-16 23:58:30 +02:00
Unai Martinez-Corral 0d451747ad f4pga/common_modules: let VprArgs accept cwd of type Path
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-16 21:15:57 +02:00
Unai Martinez-Corral f4a85507a3
f4pga: do not use wildcard imports (#617) 2022-08-16 19:10:18 +02:00
Unai Martinez-Corral 482dbb854c f4pga/common_modules/generic_script_wrapper: use pathlib.rename instead of shutil.move
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-15 08:17:37 +02:00
Unai Martinez-Corral 5494b5bc62 f4pga/common_modules/synth: use pathlib instead of os.path
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-15 08:17:37 +02:00
Unai Martinez-Corral eb8766b7cc f4pga/common_modules/fasm: use pathlib.rename instead of shutil.move
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-15 08:17:37 +02:00
Unai Martinez-Corral 95b4857cd7 f4pga/common_modules/route: use pathlib.rename instead of shutil.move
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-15 08:17:37 +02:00
Unai Martinez-Corral 5d919e9dc6 f4pga/common_modules/place: use pathlib instead of os and shutil
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-15 08:17:37 +02:00
Unai Martinez-Corral 52c44000cf f4pga/common_modules/pack: use pathlib instead of os and shutil
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-15 08:17:37 +02:00
Unai Martinez-Corral 2a06307680 f4pga/common_modules/analysis: use Path.rename instead of shutil.move
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-15 08:17:37 +02:00
Unai Martinez-Corral 1f7fb25847 f4pga: do not use wildcard imports
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-15 08:17:37 +02:00
Unai Martinez-Corral 2d6650fd0e
f4pga: use YAML instead of JSON (#615) 2022-08-15 06:12:58 +02:00
Unai Martinez-Corral cbead488ac f4pga/platforms: use anchors
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-15 05:35:44 +02:00
Unai Martinez-Corral 125245ab08 f4pga: merge platform flow definitions into a single file
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-15 05:35:08 +02:00
Unai Martinez-Corral 6c6bb68841 f4pga: convert platforms from JSON to YAML
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-15 05:15:02 +02:00
Unai Martinez-Corral 1790852271 f4pga: convert part_db from JSON to YAML
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-15 05:12:48 +02:00
Unai Martinez-Corral 86f281349f f4pga: cleanup
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-15 05:12:48 +02:00
Unai Martinez-Corral eb6b0e5c78
f4pga: unify stage declarations with stage options (#610) 2022-08-15 05:10:22 +02:00
Krzysztof Boronski 2f303cbc0f Unify stage declarations with options
Signed-off-by: Krzysztof Boronski <kboronski@antmicro.com>
2022-08-15 05:03:56 +02:00
Unai Martinez-Corral bd89d50693
f4pga: shrink part db (#570) 2022-08-15 03:15:22 +02:00
Unai Martinez-Corral 2af3dd8b1c f4pga/part_db: reverse hierarchy
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-15 03:08:28 +02:00
Krzysztof Boronski 8ab088337f Shrink part-db
Signed-off-by: Krzysztof Boronski <kboronski@antmicro.com>
2022-08-15 03:02:23 +02:00
Unai Martinez-Corral 66ccea069d
f4pga/wrappers: cleanup (#612) 2022-08-14 15:08:37 +02:00
Unai Martinez-Corral e0ffd4e094 f4pga/wrappers/sh/vpr_common: remove function parse_args
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-14 14:02:59 +02:00
Unai Martinez-Corral cb7f555511 f4pga/wrappers/sh/quicklogic/ql: remove redundant condition
Co-Authored-By: Pawel Czarnecki <pczarnecki@antmicro.com>
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-14 14:02:59 +02:00
Unai Martinez-Corral 453fffea4e f4pga/wrappers/sh: cleanup
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-14 14:02:59 +02:00
Unai Martinez-Corral 6dc738fd3d f4pga/setup: rm vpr_common
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-14 14:02:59 +02:00
Unai Martinez-Corral 9dc9d6cb98 f4pga/wrappers/sh: cleanup
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-14 14:02:59 +02:00
Unai Martinez-Corral a6f4fbd481 f4pga/wrappers/sh/quicklogic: rm generate_constraints.f4pga.sh
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-14 14:02:59 +02:00
Unai Martinez-Corral 3ae5760017 f4pga/wrappers/sh/generate_constraints: cleanup; rm symbiflow_generate_constraints
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-14 14:02:59 +02:00
Unai Martinez-Corral b33e80dbb6 f4pga/wrappers/sh/vpr_run: convert to Python only
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-14 14:02:59 +02:00
Unai Martinez-Corral 77ea3b96ae f4pga/wrappers/sh: add vpr_run
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-14 14:02:59 +02:00
Unai Martinez-Corral 1c94ac60a6 f4pga/wrappers/sh/vpr_common: style
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-14 14:02:59 +02:00
Unai Martinez-Corral 8dc2c18038 f4pga/wrappers/sh: cleanup
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-14 14:02:59 +02:00
Unai Martinez-Corral 66d69b237a f4pga/wrappers/sh/xc7: rm generate_constraints.f4pga.sh
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-14 14:02:59 +02:00
Unai Martinez-Corral dd52188179 f4pga/wrappers/sh: rm place.f4pga.sh
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-14 14:02:58 +02:00
Unai Martinez-Corral 30a2aa5b54 f4pga/wrappers/sh: remove unused function
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-14 14:02:58 +02:00
Unai Martinez-Corral 68139d14db f4pga/wrappers/sh/xc7: rm write_bitstream.f4pga.sh
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-08-14 14:02:58 +02:00