Commit Graph

1071 Commits

Author SHA1 Message Date
Karol Gugala 9c049e21ac
Merge pull request #662 from antmicro/svplugin-instead-of-uhdm
Replace deprecated Yosys UHDM plugin with SV plugin
2023-09-13 13:14:14 +02:00
Krzysztof Bieganski aefaa81f23 Change deprecated Yosys UHDM plugin to SV plugin
Signed-off-by: Krzysztof Bieganski <kbieganski@antmicro.com>
2023-09-10 21:05:18 +02:00
Tomasz Michalak 835a40534f
Merge pull request #657 from antmicro/umarcor/docs/update
docs/conf: update extlinks syntax
2023-04-22 14:31:59 +02:00
Unai Martinez-Corral 0da509737b docs/conf: update extlinks syntax
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2023-04-12 12:13:37 +02:00
Tomasz Michalak b6c5fffd2e
Merge pull request #653 from antmicro/umarcor/shields
readme: update shield syntax (badges/shields#8671)
2023-02-21 09:25:02 +01:00
dependabot[bot] 9483f0729a
build(deps): bump third_party/make-env from `33b80bd` to `bc9ec7f` (#656)
Bumps [third_party/make-env](https://github.com/SymbiFlow/make-env) from `33b80bd` to `bc9ec7f`.
- [Release notes](https://github.com/SymbiFlow/make-env/releases)
- [Commits](33b80bd32c...bc9ec7f2a0)

---
updated-dependencies:
- dependency-name: third_party/make-env
  dependency-type: direct:production
...

Signed-off-by: dependabot[bot] <support@github.com>
Co-authored-by: dependabot[bot] <49699333+dependabot[bot]@users.noreply.github.com>
2023-02-13 03:35:27 +00:00
Unai Martinez-Corral 62b2c8f42f readme: update shield syntax (badges/shields#8671)
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2023-02-07 23:07:08 +01:00
Tomasz Michalak 10ba0675e9
Merge pull request #654 from antmicro/umarcor/black
run black
2023-02-07 21:54:31 +01:00
Unai Martinez-Corral 54c4c1ee44 f4pga: run black
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2023-02-07 21:34:39 +01:00
Karol Gugala 34008841c1
Merge pull request #652 from ept221/patch-1
Grammar fix
2023-01-29 09:43:16 +01:00
Ezra Thomas 4ebbba1442
Grammar fix 2023-01-21 12:13:45 -05:00
dependabot[bot] 553af11ede
build(deps): bump third_party/make-env from `75b12fa` to `33b80bd` (#651)
Bumps [third_party/make-env](https://github.com/SymbiFlow/make-env) from `75b12fa` to `33b80bd`.
- [Release notes](https://github.com/SymbiFlow/make-env/releases)
- [Commits](75b12fa0ef...33b80bd32c)

---
updated-dependencies:
- dependency-name: third_party/make-env
  dependency-type: direct:production
...

Signed-off-by: dependabot[bot] <support@github.com>

Signed-off-by: dependabot[bot] <support@github.com>
Co-authored-by: dependabot[bot] <49699333+dependabot[bot]@users.noreply.github.com>
2022-12-27 02:09:35 +00:00
dependabot[bot] 4ec8448a4c
build(deps): bump third_party/make-env from `59adb0f` to `75b12fa` (#650)
Bumps [third_party/make-env](https://github.com/SymbiFlow/make-env) from `59adb0f` to `75b12fa`.
- [Release notes](https://github.com/SymbiFlow/make-env/releases)
- [Commits](59adb0f248...75b12fa0ef)

---
updated-dependencies:
- dependency-name: third_party/make-env
  dependency-type: direct:production
...

Signed-off-by: dependabot[bot] <support@github.com>

Signed-off-by: dependabot[bot] <support@github.com>
Co-authored-by: dependabot[bot] <49699333+dependabot[bot]@users.noreply.github.com>
2022-12-26 02:17:27 +00:00
Unai Martinez-Corral f43bb728b1
f4pga/flows: use proper pinmap CSV for qlf_k4n8 (#649) 2022-12-05 15:04:24 +00:00
Rafal Kolucki a92635302f f4pga/flows: Use proper pinmap CSV for qlf_k4n8
Signed-off-by: Rafal Kolucki <rkolucki@antmicro.com>
2022-12-02 16:27:41 +01:00
Tim 'mithro' Ansell 5aafae6588
Merge pull request #647 from prasadp4009/main
Fixed typo
2022-10-26 11:00:46 -07:00
Prasad Pandit 3d20b384f0
Fixed typo 2022-10-25 16:25:09 -05:00
Unai Martinez-Corral e1cd038f06
f4pga/flows: cleanup (#644) 2022-10-04 20:53:49 +01:00
Unai Martinez-Corral 6cb486e096
f4pga/flows: split vpr to a file; create subdir 'tools'; mv nextpnr and vpr (#643) 2022-10-04 21:47:54 +02:00
Unai Martinez-Corral 6fc118b5fa f4pga/flows/common: remove unused function
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-10-03 05:19:31 +02:00
Unai Martinez-Corral 94df736bee f4pga/flows: split vpr to a file; create subdir 'tools'; mv nextpnr and vpr
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-10-03 05:19:31 +02:00
Unai Martinez-Corral 4d52d3c721 f4pga/flows/common: cleanup
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-10-03 05:19:31 +02:00
Unai Martinez-Corral 18a2c00cdc f4pga/flows: vpr_specific_values as a list, not a function
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-10-03 05:19:31 +02:00
Unai Martinez-Corral 3ef329ce6f ci/Action: fix artifacts
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-10-03 05:16:46 +02:00
Unai Martinez-Corral 137f61afb4
f4pga/modules/{analysis|route}: fix vpr_options (#618) 2022-10-01 20:23:47 +02:00
Unai Martinez-Corral df5f2aebfb f4pga/flows: make VprArgs explicit
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-30 19:57:53 +01:00
Unai Martinez-Corral 2174efa459 f4pga/flows/common_modules/route: pass vpr_extra_options
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-30 19:04:36 +01:00
Unai Martinez-Corral 7ad00b5c88 f4pga/flows/common_modules/analysis: pass vpr_extra_options
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-30 19:04:36 +01:00
Krzysztof Boroński b30f113151 f4pga/flows/common.sub: print stdout on error optionally (#642) 2022-09-30 19:03:51 +01:00
Tomasz Michalak 54802ec0e3
Merge pull request #575 from antmicro/umarcor/tests
Add HDL tests
2022-09-30 13:08:25 +02:00
Unai Martinez-Corral 2d90269229 add HDL tests
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-30 00:46:02 +01:00
Tomasz Michalak 21d948847f
Merge pull request #574 from antmicro/umarcor/action
Add F4PGA Action
2022-09-29 11:54:46 +02:00
Unai Martinez-Corral 6aa3995f78 f4pga: cleanup
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-29 00:51:28 +01:00
Unai Martinez-Corral 27b7d4bf31 add F4PGA Action
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-29 00:18:54 +01:00
Unai Martinez-Corral e0037de59f
f4pga/flows: mv common_modules modules (#638) 2022-09-28 23:12:16 +02:00
Unai Martinez-Corral bae00eb72b
f4pga/flows/common: update docstring
Co-authored-by: Krzysztof Boroński <94375110+kboronski-ant@users.noreply.github.com>
2022-09-28 22:05:44 +01:00
Unai Martinez-Corral c3a3e4e90d
docs/getting-started: typo (#639) 2022-09-25 16:13:44 +02:00
Brutspark 1c61e4195d
Design Flows <Flows>
Design Flows <Flows> was Desing Flows <Flows>
2022-09-25 03:01:34 +04:00
Unai Martinez-Corral d6957b87c6 f4pga/flows: mv common_modules modules
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-23 10:12:37 +02:00
Tomasz Michalak ebf85baba5
Merge pull request #637 from antmicro/umarcor/lattice
f4pga/flows: support ice40
2022-09-23 10:06:35 +02:00
Unai Martinez-Corral e821a2c637 f4pga/flows/yosys: support optional value 'extra_args'
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-23 09:58:25 +02:00
Unai Martinez-Corral bacc41ddd2 f4pga/flows: mv synth yosys
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-22 21:12:37 +01:00
Krzysztof Boronski c406d26b3a f4pga/flows: support ice40
* add nextpnr base module
* add nextpnr-ice40 variant
* update part_db.yml
* add ice40 flow
* add CI job 'Lattice'

Co-Authored-By: Unai Martinez-Corral <umartinezcorral@antmicro.com>
Signed-off-by: Krzysztof Boronski <kboronski@antmicro.com>
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-22 21:12:37 +01:00
Unai Martinez-Corral bb5d0bb24c
docs/changes: add 8 (#636)
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-08 13:30:31 +02:00
Unai Martinez-Corral 6b4976a028 f4pga/wrappers/sh/quicklogic/synth: partially revert 9e327656
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-07 14:28:39 +02:00
Unai Martinez-Corral 4170bc49ac
f4pga/flows/common_modules/synth: always use 'read_verilog' (#634) 2022-09-07 03:55:03 +02:00
Unai Martinez-Corral 9e327656a1 f4pga/wrappers/sh/synth: update
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-07 03:32:00 +02:00
Unai Martinez-Corral 409d9ca03c f4pga/flows/common_modules/synth: cleanup
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-07 03:32:00 +02:00
Krzysztof Boronski 5350ec87f7 Simplify synth module, always user read_verilog
Signed-off-by: Krzysztof Boronski <kboronski@antmicro.com>
2022-09-07 03:32:00 +02:00
Unai Martinez-Corral ce0c29bddb
f4pga/wrappers/tcl: combine 'conv.*.tcl' into 'synth.*.tcl' (#633)
Signed-off-by: Unai Martinez-Corral <umartinezcorral@antmicro.com>
2022-09-06 23:50:29 +02:00