picorv32/scripts/vivado/synth_speed.tcl

16 lines
233 B
Tcl
Raw Normal View History

2015-06-09 06:45:45 -04:00
read_verilog ../../picorv32.v
read_xdc synth_speed.xdc
2015-06-26 17:41:13 -04:00
synth_design -part xc7k70t-fbg676 -top picorv32_axi
2015-06-09 06:45:45 -04:00
opt_design
place_design
2015-06-27 17:05:00 -04:00
phys_opt_design
2015-06-09 06:45:45 -04:00
route_design
report_utilization
report_timing
write_verilog -force synth_speed.v