litex-boards/litex_boards/targets/de10lite.py

124 lines
4.8 KiB
Python
Raw Normal View History

2019-06-10 11:09:51 -04:00
#!/usr/bin/env python3
#
# This file is part of LiteX-Boards.
#
# Copyright (c) 2019 msloniewski <marcin.sloniewski@gmail.com>
# SPDX-License-Identifier: BSD-2-Clause
import os
2019-06-10 11:09:51 -04:00
import argparse
from migen import *
from migen.genlib.resetsync import AsyncResetSynchronizer
2019-06-10 11:09:51 -04:00
from litex.build.io import DDROutput
from litex_boards.platforms import de10lite
2019-06-10 11:09:51 -04:00
from litex.soc.cores.clock import Max10PLL
2020-06-11 13:59:32 -04:00
from litex.soc.integration.soc import SoCRegion
from litex.soc.integration.soc_core import *
2019-06-10 11:09:51 -04:00
from litex.soc.integration.soc_sdram import *
from litex.soc.integration.builder import *
from litex.soc.cores.led import LedChaser
2019-06-10 11:09:51 -04:00
from litedram.modules import IS42S16320
from litedram.phy import GENSDRPHY
from litevideo.terminal.core import Terminal
2019-06-10 11:09:51 -04:00
# CRG ----------------------------------------------------------------------------------------------
2019-06-10 11:09:51 -04:00
class _CRG(Module):
def __init__(self, platform, sys_clk_freq):
self.rst = Signal()
self.clock_domains.cd_sys = ClockDomain()
self.clock_domains.cd_sys_ps = ClockDomain(reset_less=True)
self.clock_domains.cd_vga = ClockDomain(reset_less=True)
2019-06-10 11:09:51 -04:00
# # #
2020-01-09 13:46:39 -05:00
# Clk / Rst
clk50 = platform.request("clk50")
2020-01-09 13:46:39 -05:00
# PLL
self.submodules.pll = pll = Max10PLL(speedgrade="-7")
self.comb += pll.reset.eq(self.rst)
pll.register_clkin(clk50, 50e6)
pll.create_clkout(self.cd_sys, sys_clk_freq)
pll.create_clkout(self.cd_sys_ps, sys_clk_freq, phase=90)
pll.create_clkout(self.cd_vga, 25e6)
2020-01-09 13:46:39 -05:00
# SDRAM clock
self.specials += DDROutput(1, 0, platform.request("sdram_clock"), ClockSignal("sys_ps"))
2019-06-10 11:09:51 -04:00
# BaseSoC ------------------------------------------------------------------------------------------
class BaseSoC(SoCCore):
2020-06-11 13:59:32 -04:00
def __init__(self, sys_clk_freq=int(50e6), with_vga=False, **kwargs):
2019-06-10 11:09:51 -04:00
platform = de10lite.Platform()
# SoCCore ----------------------------------------------------------------------------------
SoCCore.__init__(self, platform, sys_clk_freq,
ident = "LiteX SoC on DE10-Lite",
ident_version = True,
**kwargs)
2019-06-10 11:09:51 -04:00
# CRG --------------------------------------------------------------------------------------
self.submodules.crg = _CRG(platform, sys_clk_freq)
2019-06-10 11:09:51 -04:00
# SDR SDRAM --------------------------------------------------------------------------------
2019-06-10 11:09:51 -04:00
if not self.integrated_main_ram_size:
self.submodules.sdrphy = GENSDRPHY(platform.request("sdram"))
self.add_sdram("sdram",
phy = self.sdrphy,
module = IS42S16320(sys_clk_freq, "1:1"),
origin = self.mem_map["main_ram"],
size = kwargs.get("max_sdram_size", 0x40000000),
l2_cache_size = kwargs.get("l2_size", 8192),
l2_cache_min_data_width = kwargs.get("min_l2_data_width", 128),
l2_cache_reverse = True
)
2019-06-10 11:09:51 -04:00
2020-06-11 13:59:32 -04:00
# VGA Terminal -----------------------------------------------------------------------------
if with_vga:
self.submodules.terminal = terminal = Terminal()
self.bus.add_slave("terminal", self.terminal.bus, region=SoCRegion(origin=0x30000000, size=0x10000))
vga_pads = platform.request("vga")
self.comb += [
vga_pads.vsync_n.eq(terminal.vsync),
vga_pads.hsync_n.eq(terminal.hsync),
vga_pads.r.eq(terminal.red[4:8]),
vga_pads.g.eq(terminal.green[4:8]),
vga_pads.b.eq(terminal.blue[4:8])
]
# Leds -------------------------------------------------------------------------------------
self.submodules.leds = LedChaser(
pads = platform.request_all("user_led"),
sys_clk_freq = sys_clk_freq)
self.add_csr("leds")
2019-06-10 11:09:51 -04:00
# Build --------------------------------------------------------------------------------------------
def main():
parser = argparse.ArgumentParser(description="LiteX SoC on DE10-Lite")
parser.add_argument("--build", action="store_true", help="Build bitstream")
parser.add_argument("--load", action="store_true", help="Load bitstream")
parser.add_argument("--with-vga", action="store_true", help="Enable VGA support")
2019-06-10 11:09:51 -04:00
builder_args(parser)
soc_sdram_args(parser)
args = parser.parse_args()
2020-06-11 13:59:32 -04:00
soc = BaseSoC(with_vga=args.with_vga, **soc_sdram_argdict(args))
2019-06-10 11:09:51 -04:00
builder = Builder(soc, **builder_argdict(args))
builder.build(run=args.build)
2019-06-10 11:09:51 -04:00
if args.load:
prog = soc.platform.create_programmer()
prog.load_bitstream(os.path.join(builder.gateware_dir, soc.build_name + ".sof"))
2019-06-10 11:09:51 -04:00
if __name__ == "__main__":
main()